module avalon_slave( input s0_read, // read enable output [31:0] s0_readdata, // returned slave data word input s0_write, // write enable input [31:0] s0_writedata, // data word to store at address input [3:0] s0_address, // shared read and write address (16 addressable words) input clk, input reset_n ); endmodule