Lecture Notes on PRINCIPLES OF PLASMA PROCESSING Francis F. Chen Electrical Engineering Department Jane P. Chang Chemical Engineering Department University of California, Los Angeles ee- e- e- e- e- + + + + + + + + ee- e- e- e- + + + + + + + np n+ n+ p+ p+ silicon E ee- e- e- e- e- ++ ++ ++ ++ ++ ++ ++ ++ ee- e- e- e- ++ ++ ++ ++ ++ ++ ++ np n+ n+ p+ p+ silicon E Plenum/Kluwer Publishers 2002 Preface v Reference books used in this course PRINCIPLES OF PLASMA PROCESSING PREFACE We want to make clear at the outset what this book is NOT. It is not a polished, comprehensive textbook on plasma processing, such as that by Lieberman and Lichtenberg. Rather, it is an informal set of lecture notes written for a nine-week course offered every two years at UCLA. It is intended for seniors and graduate students, especially chemical engineers, who have had no previous exposure to plasma physics. A broad range of topics is covered, but only a few can be discussed in enough depth to give students a glimpse of forefront research. Since plasmas seem strange to most chemical engineers, plasma concepts are introduced as painlessly as possible. Detailed proofs are omitted, and only the essential elements of plasma physics are given. One of these is the concept of sheaths and quasineutrality. Sheaths are dominant in plasma “reactors,” and it is important to develop a physical feel for their behavior. Good textbooks do exist. Two of these, to which we make page references in these notes for those who want to dig deeper, are the following: M.A. Lieberman and A.J. Lichtenberg, Principles of Plasma Discharges and Materials Processing (John Wiley, New York, 1994). F.F. Chen, Introduction to Plasma Physics and Controlled Fusion, Vol. 1, 2nd ed. (Plenum Press, 1984). In addition, more topics and more detail are available in unpublished notes from short courses offered by the American Vacuum Society or the Symposium on Plasma and Process Induced Damage. Lecture notes by such specialists as Prof. H.H. Sawin of M.I.T. are more comprehensive. Our aim here is to be comprehensible.. The lectures on plasma physics (Part A) and on plasma chemistry (Part B) are interleaved in class meetings but for convenience are printed consecutively here, since they were written by different authors. We have tried to keep the notation the same, though physicists and chemists do tend to express the same formula in different ways. There are no doubt a few mistakes; after all, these are just notes. As for the diagrams, we have given the source wherever possible. Some have been handed down from antiquity. If any of these are yours, please let us know, and we will be glad to give due credit. The diagrams are rather small in printed form. The CD which vi A small section of a memory chip. Straight holes like these can be etched only with plasmas accompanies the text has color figures that can be expanded for viewing on a computer monitor. There are also sample homework problems and exam questions there. Why study plasma processing? Because we can’t get along without computer chips and mobile phones these days. About half the steps in making a semiconductor circuit require a plasma, and plasma machines account for most of the equipment cost in a “fab.” Designers, engineers, and technicians need to know how a plasma behaves. These machines have to be absolutely reliable, because many millions of transistors have to be etched properly on each chip. It is amazing that this can be done at all; improvements will certainly require more plasma expertise. High-temperature plasmas have been studied for decades in connection with controlled fusion; that is, the production of electric power by creating miniature suns on the earth. The low-temperature plasmas used in manufacturing are more complicated because they are not fully ionized; there are neutral atoms and many collisions. For many years, plasma sources were developed by trial and error, there being little understanding of how these devices worked. With the vast store of knowledge built up by the fusion effort, the situation is changing. Partially ionized, radiofrequency plasmas are being better understood, particularly with the use of computer simulation. Low-temperature plasma physics is becoming a real science. This is the new frontier. We hope you will join in the exploration of it. Francis F. Chen Jane P. Chang Los Angeles, 2002 Table of Contents i TABLE OF CONTENTS PREFACE v Plasma Physics PART Al: INTRODUCTION TO PLASMA SCIENCE I. What is a plasma? 1 II. Plasma fundamentals 3 1. Quasineutrality and Debye length 2. Plasma frequency and acoustic velocity 3. Larmor radius and cyclotron frequency 4. E × B drift 5. Sheaths and presheaths PART A2: INTRODUCTION TO GAS DISCHARGES III. Gas discharge fundamentals 11 1. Collision cross section and mean free path 2. Ionization and excitation cross sections 3. Coulomb collisions; resistivity 4. Transition between neutral- and iondominated electron collisions 5. Mobility, diffusion, ambipolar diffusion 6. Magnetic field effects; magnetic buckets Cross section data 21 PART A3: PLASMA SOURCES I IV. Introduction to plasma sources 25 1. Desirable characteristics of plasma processing sources 2. Elements of a plasma source PART A4: PLASMA SOURCES II V. RIE discharges 31 1. Debye sheath 2. Child-Langmuir sheath 3. Applying a DC bias 4. Applying an RF bias 5. Displacement current 6. Ion dynamics in the sheath 7. Other effects in RIE reactors 8. Disadvantages of RIE reactors 9. Modified RIE devices Plasma Chemistry PART B1: OVERVIEW OF PLASMA PROCESSING IN MICROELECTRONICS FABRICATION I. Plasma processing 99 II. Applications in Microelectronics 100 PART B2: KINETIC THEORY AND COLLISIONS I. Kinetic theory 103 II. Practical gas kinetic models and macroscopic properties 109 1. Maxwell-Boltzmann distribution (MBD) 2. A simplified gas model (SGM) 3. Energy content 4. Collision rate between molecules 5. Mean free path 6. Flux of gas particles on a surface 7. Gas pressure 8. Transport properties 9. Gas flow III. Collision dynamics 119 1. Collision cross sections 2. Energy transfer 3. Inelastic collisions PART B3: ATOMIC COLLISIONS AND SPECTRA I. Atomic energy levels 125 II. Atomic collisions 126 1. Excitation processes 2. Relaxation and recombination processes III. Elastic collisions 129 1. Coulomb collisions 2. Polarization scattering IV. Inelastic collisions 130 1. Constraints on electronic transitions 2. Identification of atomic spectra 3. A simplified model Table of Contentsii PART A5: PLASMA SOURCES III VI. ECR sources 47 VII. Inductively coupled plasmas (ICPs) 49 1. Overview of ICPs 2. Normal skin depth 3. Anomalous skin depth 4. Ionization energy 5. Transformer coupled plasmas (TCPs) 6. Matching circuits 7. Electrostatic chucks (ESCs) PART A6: PLASMA SOURCES IV VIII. Helicon wave sources and HDPs 61 1. Dispersion relation 2. Wave patterns and antennas 3. Mode jumping 4. Modified skin depth 5. Trivelpiece-Gould modes 6. Examples of helicon measurements 7. Commercial helicon sources IX. Discharge equilibrium 69 1. Particle balance 2. Energy balance 3. Electron temperature 4. Ion temperature PART A7: PLASMA DIAGNOSTICS X. Introduction 75 XI. Remote diagnostics 75 1. Optical spectroscopy 2. Microwave interferometry 3. Laser Induced Fluorescence (LIF) XII. Langmuir probes 79 1. Construction and circuit 2. The electron characteristic 3. Electron saturation 4. Space potential 5. Ion saturation current 83 6. Distribution functions 90 7. RF compensation 8. Double probes and hot probes PART B4: MOLECULAR COLLISIONS AND SPECTRA I. Molecular energy levels 137 1. Electronic energy level 2. Vibrational energy level 3. Rotational energy level II. Selection rule for optical emission of molecules 139 III. Electron collisions with molecules 140 1. Frank-Condon principle 2. Dissociation 3. Dissociative ionization 4. Dissociative recombination 5. Dissociative electron attachment 6. Electron impact detachment 7. Vibrational and rotational excitation IV. Heavy particle collisions 142 V. Gas phase kinetics 143 PART B5: PLASMA DIAGNOSTICS I. Optical emission spectroscopy 151 1. Optical emission 2. Spectroscopy 3. Actinometry 4. Advantages/disadvantages 5. Application: end-point detection II. Laser induced fluorescence 161 III. Laser interferometry 162 IV. Full-wafer interferometry 163 V. Mass spectrometry 164 PART B6: PLASMA SURFACE KINETICS I. Plasma chemistry 167 II. Surface reactions 167 1. Spontaneous surface etching 2. Spontaneous deposition 3. Ion sputtering kinetics 4. Ion-enhanced chemical etching III. Loading 177 IV. Selectivity 178 V. Detailed reaction modeling 179 Table of Contents iii XIII. Other local diagnostics 93 1. Magnetic probes 2. Energy analyzers 3. RF current probe 4. Plasma oscillation probe PART B7: FEATURE EVOLUTION AND MODELING I. Fundamentals of feature evolution in plasma etching 183 II. Predictive modeling 185 III. Mechanisms of profile evolution 186 1. Ion bombardment directionality 2. Ion scattering within the feature 3. Deposition rate of passivants 4. Line-of-sight redeposition of products 5. Charging of surfaces in the features IV. Profile simulation 190 V. Plasma damage 193 1. Contamination 2. Particulates 3. Gate oxide Damage − photon 4. Gate oxide damage − electrical stress 5. Lattice damage 6. Post-etch corrosion EPILOGUE: CURRENT PROBLEMS IN SEMICONDUCTOR PROCESSING 199 I. Front-end challenges 199 1. High-k dielectrics 2. Metal gates II. Back-end challenges 201 1. Copper metalllization 2. Interlayer dielectrics (ILDs) 3. Barrier materials III. Patterning nanometer features 204 1. E-beam 2. Resist trimming IV. Deep reactive etch for MEMS 205 V. Plasma-induced damage 206 VI. Species control in plasma reactors 207 Introduction to Plasma Science 1 Diagrams can be enlarged on a computer by using the CD-ROM. Ions and electrons make a plasma v f(v) A Maxwellian distribution A “hot” plasma in a fusion reactor PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. F.F. Chen PART A1: INTRODUCTION TO PLASMA SCIENCE I. WHAT IS A PLASMA? Plasma is matter heated beyond its gaseous state, heated to a temperature so high that atoms are stripped of at least one electron in their outer shells, so that what remains are positive ions in a sea of free electrons. This ionization process is something we shall study in more detail. Not all the atoms have to be ionized: the cooler plasmas used in plasma processing are only 1-10% ionized, with the rest of the gas remaining as neutral atoms or molecules. At higher temperatures, such as those in nuclear fusion research, plasmas become fully ionized, meaning that all the particles are charged, not that the nuclei have been stripped of all their electrons. We can call a plasma “hot” or “cold”, but these terms have to be explained carefully. Ordinary fluids are in thermal equilibrium, meaning that the atoms or molecules have a Maxwellian (Gaussian) velocity distribution like this: f v Ae mv KT ( ) ( / ) = − ½ 2 , where A is a normalization factor, and K is Boltzmann’s constant. The parameter T, then, is the temperature, which determines the width of the distribution. In a plasma, the different speciesions, electrons, and neutralsmay have different temperatures: Ti, Te, and Tn. These three (or more, if there are different kinds of ions or atoms) interpenetrating fluids can move through one another, but they may not collide often enough to equalize the temperatures, because the densities are usually much lower than for a gas at atmospheric pressure. However, each species usually collides with itself often enough to have a Maxwellian distribution. Very hot plasmas may be non-Maxwellian and would have to be treated by “kinetic theory”. A “cool” plasma would have to have an electron temperature of at least about 10,000°K. Then the fast electrons in the “tail” of the distribution would be energetic enough to ionize atoms they collide with often enough to overcome recombination of ions and electrons back into neutrals. Because of the large numbers, it is more convenient to express temperature in electron-volts (eV). When T is such that the energy KT is equal to the Part A12 A cooler plasma: the Aurora Borealis Most of the sun is in a plasma state, especially the corona. The earth plows through the magnetized interplanetary plasma created by the solar wind. Comet tails are dusty plasmas. energy an electron gets when it falls through an electric potential of 1 volt, then we say that the temperature is 1 eV. Note that the average energy of a Maxwellian distribution is (3/2)KT, so a 1-eV plasma has average energy 1.5 eV per particle. The conversion factor between degrees and eV is 1 11 600eV K= °, Fluorescent lights contain plasmas with Te ≈ 1−2 eV. Aside from these we do not often encounter plasmas in everyday life, because the plasma state is not compatible with human life. Outside the earth in the ionosphere or outer space, however, almost everything is in the plasma state. In fact, what we see in the sky is visible only because plasmas emit light. Thus, the most obvious application of plasma science is in space science and astrophysics. Here are some examples: • Aurora borealis • Solar wind • Magnetospheres of earth and Jupiter • Solar corona and sunspots • Comet tails • Gaseous nebulae • Stellar interiors and atmospheres • Galactic arms • Quasars, pulsars, novas, and black holes Plasma science began in the 1920s with experiments on gas discharges by such famous people as Irving Langmuir. During World War II, plasma physicists were called upon to invent microwave tubes to generate radar. Plasma physics got it greatest impetus with the start of research on controlled nuclear fusion in the 1950s. The task is to reproduce on earth the thermonuclear reactions used by stars to generate their energy. This can be done only by containing a plasma of over 104 eV (108 K). If this enterprise is successful, some say that it will be the greatest achievement of man since the invention of fire, because it will provide our civilization with an infinite source of energy, using only the heavy hydrogen that exists naturally in our oceans. Another use of plasmas is in generation of coherent radiation: microwave tubes, gas lasers, free-electron lasers, etc. Plasma-based particle accelerators are being developed for high energy physics. Intense X-ray Introduction to Plasma Science 3 Gaseous nebulae are plasmas. Plasmas at the birth of stars Spiral galaxies are plasmas sources using pulsed power technology simulate nuclear weapons effects. The National Ignition Facility is being built at Livermore for inertial confinement fusion. Femtosecond lasers can produce plasmas with such fast rise times that very short chemical and biological events can now be studied. Industrial plasmas, which are cooler, higher pressure, and more complex than those in the applications listed above, are being used for hardening metals, such as airplane turbine blades and automobile parts, for treating plastics for paint adhesion and reduced permeation, for nitriding surfaces against corrosion and abrasion, for forming diamond coatings, and for many other purposes. However, the application of plasma science that is increasingly affecting our everyday life is that of semiconductor production. No fast computer chip can be made without plasma processing, and the industry has a large deficit of personnel trained in plasma science. II. PLASMA FUNDAMENTALS Plasma physics has a reputation of being very difficult to understand, and this is probably true when compared with fluid dynamics or electromagnetics in dielectric media. The reason is twofold. First, being a charged fluid, a plasma’s particles interact with one another not just by collisions, but by long-range electric and magnetic fields. This is more complicated than treating the charged particles one at a time, such as in an electron beam, because the fields are modified by the plasma itself, and plasma particles can move to shield one another from imposed electric fields. Second, most plasmas are too tenuous and hot to be considered continuous fluids, such as water (≈3 × 1022 cm-3 ) or air (≈3 × 1019 cm-3 ). With particle densities of 109-13 cm-3 , plasmas do not always behave like continuous fluids. The discrete nature of the ions and electrons makes a difference; this kind of detail is treated in the kinetic theory of plasmas. Fortunately, with a few exceptions, the fluid theory of plasmas is all that is required to understand the behavior of lowtemperature industrial plasmas, and the quantum mechanical effects of semiconducting solids also do not come into play. 1. Quasineutrality and Debye length Plasmas are charged fluids (interpenetrating fluids of ions and electrons) which obey Maxwell’s equations, but in a complex way. The electric and magnetic fields in the plasma control the particle orbits. At the same time, the motions of the charged particles can form charge bunches, which create electric fields, or currents, Part A14 Plasma in a processing reactor (computer model, by M. Kushner) A sheath separates a plasma from walls and large objects. The plasma potential varies slowly in the plasma but rapidly in the sheath. which create magnetic fields. Thus, the particle motions and the electromagnetic fields have to be solved for in a self-consistent way. One of Maxwell’s equations is Poisson’s equation: ( )i ee n nε∇ ⋅ = ∇ ⋅ = −D E . (1) Normally, we use ε0 for ε, since the dielectric charges are explicitly expressed on the right-hand side. For electrostatic fields, E can be derived from a potential V: E = −∇V , (2) whereupon Eq. (1) becomes ∇ = −2 0V e n ne i( / )( )ε . (3) This equation has a natural scale length for V to vary. To see this, let us replace ∇2 with 1/L2 , where L is the length over which V varies. The ratio of the potential energy |eV| of an electron in the electric field to its thermal energy KTe is then approximately 2 2 0 ( )e i e e n n eeV L KT KTε − = . (4) The natural length scale on the right, called the Debye length, is defined by λ ε D e e KT n e = F HG I KJ0 2 1 2/ (5) In terms of λD, Eq. (4) becomes 2 2 1 i e eD neV L KT nλ   = −    . (6) The left-hand side of this equation cannot be much larger than 1, because if a large potential is imposed inside the plasma, such as with a wire connected to a battery, a cloud of charge will immediately build up around the wire to shield out the potential disturbance. When the values of ε0 and e are inserted, Eq. (5) has the value λ µD e e T eV n = − 7 4 1018 3 . ( ) ( )m m (7) Thus, λD is of order 50 µm for KTe = 4 eV and ne = 1017 m-3 or 1011 cm-3 , a value on the high side for industrial plasmas and on the low side for fusion plasmas. In the Introduction to Plasma Science 5 Sheaths form electric barriers for electrons, reflecting most of them so that they escape at the same rate as the slower ions, keeping the plasma quasineutral. main body of the plasma, V would vary over a distance depending on the size of the plasma. If we take L to be of order 10 cm, an average dimension for a laboratory plasma, the factor (L/λD)2 is of order 108 , so that ni must be equal to ne within one part in 108 to keep the LHS reasonably small. In the interior of a plasma, then, the charge densities must be very nearly equal, and we may define a common density, called the plasma density n, to be either ni or ne. However, there are regions, called sheaths, where L is the order of λD; there, the ratio ni / ne does not have to be near unity. The condition ni ≈ ne is called quasineutrality and is probably the most important characteristic of a plasma. Charged particles will always find a way to move to shield out large potentials and maintain equal densities of the positive and negative species. We have implicitly assumed that the ions are singly charged. If the ions have a charge Z, the condition of quasineutrality is simply ni = Zne. Note that one hardly ever has a whole cubic meter of plasma, at least on the earth; consequently densities are often expressed in cm-3 rather than the MKS unit m-3 . If L is of the order of the Debye length, then Eq. (6) tells us that the quasineutrality condition can be violated. This is what happens near the walls around a plasma and near objects, such as probes, inserted into the plasma. Adjacent to the surface, a sheath of thickness about 5λD, forms, in which the ions outnumber the electrons, and a strong electric field is created. The potential of the wall is negative relative to the plasma, so that electrons are repelled by a Coulomb barrier. This is necessary because electrons move much faster than ions and would escape from the plasma and leave it positively charged (rather than quasineutral) unless they were repelled by this “sheath drop”. We see from Eq. (3) that V(r) would have the right curvature only if ni > ne; that is, if the sheath is ion-rich. Thus the plasma potential tends to be positive relative to the walls or to any electrically isolated object, such as a large piece of dust or a floating probe. Sheaths are important in industrial plasmas, and we shall study them in more detail later. 2. Plasma frequency and acoustic velocity Waves are small, repetitive motions in a continuous medium. In air, we are accustomed to having sound waves and electromagnetic (radio) waves. In water, we have sound waves and, well, water waves. In a plasma, we have electromagnetic waves and two kinds of sound Part A16 A plasma oscillation: displaced electrons oscillate around fixed ions. The wave does not necessarily propagate. An ion acoustic wave: ions and electrons move together in a propagating compressional wave. waves, one for each charge species. Of course, if the plasma is partially ionized, the neutrals can have their own sound waves. The sound waves in the electron fluid are called plasma waves or plasma oscillations. These have a very high characteristic frequency, usually in the microwave range. Imagine that a bunch of electrons are displaced from their normal positions. They will leave behind a bunch of positively charged ions, which will draw the electrons back. In the absence of collisions, the electrons will move back, overshoot their initial positions, and continue to oscillate back and forth. This motion is so fast that the ions cannot move on that time scale and can be considered stationary. The oscillation frequency, denoted by ωp, is given by ω εp ne m ≡ F HG I KJ 2 0 1 2/ rad / sec (8) In frequency units, this gives approximately f np = − 9 1012 3 ( )cm GHz (9) This is called the plasma frequency, and it depends only on the plasma density. The sound wave in the ion fluid behaves quite differently. It has a characteristic velocity rather than a characteristic frequency, and the frequency, of course, is much lower. The physical difference is that, as the ions are displaced from their equilibrium positions, the more mobile electrons can move with them to shield out their charges. However, the shielding is not perfect because the electron have thermal motions which are random and allow a small electric field to leak out of the Debye cloud. These ion acoustic waves, or simply ion waves, propagate with the ion acoustic velocity or ion sound speed cs: c KT Ms e≡ / / b g1 2 (10) where capital M is the ion mass. Note that cs depends on Te, not Ti, as in air, because the deviation from perfect Debye shielding depends on Te. There is actually a small correction ∝ Ti which we have neglected because Ti is normally << Te in partially ionized plasmas. The hybrid ratio Te /M permits ion sound waves to exist even when the ions are cold. Introduction to Plasma Science 7 Electrons and ions gyrate in opposite directions with different size orbits. e rL guiding center The E × B drift 3. Larmor radius and cyclotron frequency If the plasma is imbedded in a DC magnetic field (B-field), many more types of wave motions are possible than those given in the previous section. This is because the B-field affects the motions of the charged particles and makes the plasma an anisotropic medium, with a preferred direction along B. As long as the ion or electron of charge q is moving, it feels a Lorentz force qv × B, which is perpendicular to the both the velocity and the field. This force has no effect on the velocity component parallel to B, but in the perpendicular plane it forces the particle to gyrate in a cyclotron orbit. The frequency of this circular motion, the cyclotron frequency ωc, is independent of velocity and depends only on the charge-tomass ratio: | | /c qB mω = , or / 2 2.8 MHz/Gc cf ω π= ≈ (11) The radius of the circle of gyration, called Larmor radius or gyroradius rL, however, does depend on velocity. If v⊥ is the velocity component in the plane perpendicular to B, a particle completes an orbit of length 2πrL in a time 2π/ωc, so v⊥ = rLωc, or r vL c= ⊥ /ω (12) Since ωc ∝ 1/M while v⊥ ∝ 1/M1/2 , rL tends to be smaller for electrons than for ions by the square root of the mass ratio. In processing plasmas that have magnetic fields, the fields are usually of the order of several hundred gauss (1G = 10−4 Tesla), in which case heavy ions such as Cl are not much affected by B, while electrons are strongly constrained to move along B, while gyrating rapidly in small circles in the perpendicular plane. In this case, if is often possible to neglect the small gyroradius and treat only the motion of the center of the orbit, called the guiding center. Note that ions and electrons gyrate in opposite directions. An easy way to remember the direction is to consider the moving charge as a current, taking into account the sign of the charge. This current generates a magnetic field in a direction given by the right-hand rule, and the current must always be in a direction so as to generate a magnetic field opposing the background magnetic field. 4. E × B drift In magnetic fields so strong that both ions and electrons have Larmor radii much smaller than the plasma Part A18 The sheath potential can have the proper curvature only if ni > ne there. n xs x ne = ni = n PLASMA SHEATH ni ne + ns PRESHEATH Only in the sheath can quasineutrality be violated. radius, the particles’ guiding centers drift across B in response to applied electric fields E⊥ (the component perpendicular to B). This drift speed is given by 2 /Ev B= ×E B . (13) The velocity parallel to B is, of course, unaffected by E⊥. Note that vE is perpendicular to both E and B and is the same for ions and electrons. If E is not constant across an ion Larmor diameter, the ions feel an average E-field and tend to drift somewhat more slowly than the electrons. At fields of a few hundred gauss, as is common in plasma processing, heavy ions such as argon or chlorine may strike the wall before completing a Larmor orbit, especially if they have been accelerated to an energy >>KTi by E⊥. In that case, one has a hybrid situation in which the ions are basically unmagnetized, while the electrons are strongly magnetized and follow Eq. (13). 5. Sheaths and presheaths We come now to the details of how a sheath is formed. Let there be a wall at x = 0, with a plasma extending a large distance to the right (x > 0). At x = s we draw an imaginary plane which we can call the sheath edge. From our discussion of Debye shielding, we would expect s to be of the order of λD (actually, it is more like 5λD).. Outside the sheath (x ≥ s), quasineutrality requires ni ≈ ne. Let the plasma potential there be defined as V = 0. Inside the sheath, we can have an imbalance of charges. The potential in the sheath must be negative in order to repel electrons, and this means that V(x) must have negative curvature.. From the one-dimensional form of Eq. (3), we see that ni must be larger than ne. Now, if the electrons are Maxwellian, their density in a potential hill will be exponentially smaller: ne / ns = exp(eV/KTe), (14) where ns is the density at the sheath edge. To calculate the ion density, consider that the ions flowing toward the wall are accelerated by the sheath’s E-field and are not reflected, so the ion flux is constant. We may neglect Ti , but for reasons that will become clear, we have to assume that the ions enter the sheath with a finite velocity vs. The equation of continuity is then nivi = nsvs (15) Conservation of energy gives ½ ½Mv eV Mvi s 2 2 + = (16) The last two equations give Introduction to Plasma Science 9 V x PLASMA SHEATH 1 SHEATH 2 + - + If the sheaths drops are unequal, the electron fluxes will be unequal, but they must add up to the total ion flux (which is the same to both sides). 1/ 22 1 1 2 / i s s n n eV Mv =  −   (17) The sheath condition ni > ne has to hold even for small values of |V|, just inside the sheath. In that case, we can expand Eqs. (14) and (17) in Taylor series to obtain n n eV KT n n eV Mv e s e i s s = + + = + +1 1 2 ... , ... (18) Since V is negative, the condition ni > ne then becomes eV Mv eV KTs e | | | | 2 < (19) The sheath condition is then v KT M cs e s> =( / ) /1 2 (20) This is called the Bohm sheath criterion and states that ions must stream into the sheath with a velocity at least as large as the acoustic velocity in order for a sheath of the right shape to form. Such a Debye sheath is also called an ion sheath, since it has a net positive charge. The obvious question now is: “How can the ions get such a large directed velocity, which is much larger than their thermal energies?” There must be a small electric field in the quasineutral region of the main body of the plasma that accelerates ions to an energy of at least ½KTe toward the sheath edge. Such an E-field can exist only by virtue of non-ideal effects: collisions, ionization, or other sources of particles or momentum. This region is called the presheath, and it extends over distances of the order of the plasma dimensions. The pre-sheath field is weak enough that quasineutrality does not have to be violated to create it. In reference to plasma processing, we see that ions naturally gain a directed velocity by the time they strike the substrate, even if nothing is done to enhance the sheath drop. If a voltage is applied between two walls or electrodes, there will still be an ion sheath on each wall, but the sheath drops will be unequal, so the electron fluxes to each wall will be unequal even if they have the same area. However, the ion fluxes are the same (= nsvs) to each wall, and the total electron flux must equal the total ion flux. Since more electrons are collected at the more positive electrode than at the other, a current has to flow through the biasing power supply. Part A110 If a presheath has to exist, the density ns at the sheath edge cannot be the same as the plasma density n in the body of the plasma. Since the ions have a velocity cs at the sheath edge, their energy ½Mcs 2 is ½KTe, and there must be a potential drop of at least ½KTe between the body of the plasma and the sheath edge. Let us now set V = 0 inside the main plasma, so that V = Vs at the sheath edge. The electrons are still assumed to be in a Maxwellian distribution: / 0 eeV KT en n e= . (21) Since the integral of an exponential is still an exponential, it is the property of a Maxwellian distribution that it remains a Maxwellian at the same temperature when placed in a retarding potential; only the density is changed. There is only a small modification in the number of electrons moving back from the sheath due to the few electrons that are lost through the Coulomb barrier. Thus, Eq. (21) holds throughout the plasma, presheath, and sheath, regardless of whether there are collisions or not. If eVs = −e|Vs| = −½KTe, then Eq. (21) tells us that -1/2 0 0 00.6 ½sn n e n n= = ≈ . (22) This is approximate, since there is no sharp dividing line between sheath and presheath. In the future we shall use the simple relation ns ≈ ½n0, where n0 is the density in the main plasma. In summary, a plasma can coexist with a material boundary only if a thin sheath forms, isolating the plasma from the boundary. In the sheath there is a Coulomb barrier, or potential drop, of magnitude several times KTe, which repels electrons from and accelerates ions toward the wall. The sheath drop adjusts itself so that the fluxes of ions and electrons leaving the plasma are almost exactly equal, so that quasineutrality is maintained. Introduction to Gas Discharges 11 Definition of cross section Diffusion is a random walk process. Argon Momentum Transfer Cross Section 0.01 0.10 1.00 10.00 100.00 0.00 0.01 0.10 1.00 10.00 100.00 Electron energy (eV) Squareangstroms Momentum transfer cross section for argon, showing the Ramsauer minimum PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. F.F. Chen PART A2: INTRODUCTION TO GAS DISCHARGES III. GAS DISCHARGE FUNDAMENTALS 1. Collision cross sections and mean free path (Chen, p.155ff)* We consider first the collisions of ions and electrons with the neutral atoms in a partially ionized plasma; collisions between charged particles are more complicated and will be treated later. Since neutral atoms have no external electric field, ions and electrons do not feel the presence of a neutral until they come within an atomic radius of it. When an electron, say, collides with a neutral, it will bounce off it most of the time as if it were a billiard ball. We can then assign to the atom an effective cross sectional area, or momentum transfer cross section, which means that, on the average, an electron hitting such an area around the center of an atom would have its (vector) momentum changed by a lot; a lot being a change comparable to the size of the original momentum. The cross section that an electron sees depends on its energy, so in general a cross section σ depends on the energy, or, on average, the temperature of the bombarding particles. Atoms are about 10−8 cm (1 Angstrom) in radius, so atomic cross sections tend to be around 10−16 cm2 (1 Å2 ) in magnitude. People often express cross sections in units of πa0 2 = 0.88 × 10−16 cm2 , where a0 is the radius of the hydrogen atom. At high energies, cross sections tend to decrease with energy, varying as 1/v, where v is the velocity of the incoming particle. This is because the electron goes past the atom so fast that there is not enough time for the electric field of the outermost electrons of the atom to change the momentum of the passing particle. At low energies, however, σ (v) can be more constant, or can even go up with energy, depending on the details of how the atomic fields are shaped. A famous case is the Ramsauer cross section, occurring for noble gases like argon, which takes a deep dive around 1 eV. Electrons of such low energies can almost pass through a Ramsauer atom without knowing it is there. * References are for further information if you need it. Part A212 n e An elastic electron-neutral collision + n An ion-neutral charge exchange collision Ions have somewhat higher cross sections with neutrals because the similarity in mass makes it easier for the ion to exchange momentum with the neutral. Ions colliding with neutrals of the same species, such as Cl with Cl+ , have a special effect, called a charge exchange collision. A ion passing close to an atom can pull off an outer electron from the atom, thus ionizing it. The ion then becomes a fast neutral, while the neutral becomes a slow ion. There is no large momentum exchange, but the change in identity makes it look like a huge collision in which the ion has lost most of its energy. Chargeexchange cross sections (σcx) can be as large as 100 πa0 2 . Unless one is dealing with a monoenergetic beam of electrons or ions, a much more useful quantity is the collision probability <σv>, measured in cm3 /sec, where the average is taken over a Maxwellian distribution at temperature KTe or KTi. The average rate at which each electron in that distribution makes a collision with an atom is then <σv> times the density of neutrals; thus, the collision frequency is: vc nnν σ= < > per sec. (1) If the density of electrons is ne, the number of collisions per cm3 /sec is just ve nn n σ< > cm-3 sec-1 . (2) The same rate holds for ion-neutral collisions if the appropriate ion value of <σv> is used. On average, a particle makes a collision after traveling a distance λm, called the mean free path. Since distance is velocity times time, dividing v by Eq. (1) (before averaging) gives λ σm nn= 1/ . (3) This is actually the mean free path for each velocity of particle, not the average mean free path for a Maxwellian distribution. 2. Ionization and excitation cross sections (L & L, Chap. 3). If the incoming particle has enough energy, it can do more than bounce off an atom; it can disturb the electrons orbiting the atom, making an inelastic collision. Sometimes only the outermost electron is kicked into a higher energy level, leaving the atom in an excited state. The atom then decays spontaneously into a metastable state or back to the ground level, emitting a photon of a particular energy or wavelength. There is an excitation Introduction to Gas Discharges 13 + e - - - h Debye cloud A 90° electron-ion collision cross section for each such transition or each spectral line that is characteristic of that atom. Electrons of higher energy can knock an electron off the atom entirely, thus ionizing it. As every freshman physics student knows, it takes 13.6 eV to ionize a hydrogen atom; most other atoms have ionization thresholds slightly higher than this value. The frequency of ionization is related by Eq. (3) to the ionization cross section σion, which obviously is zero below the threshold energy Eion. It increases rapidly above Eion, then tapers off around 50 or 100 eV and then decays at very high energies because the electrons zip by so fast that their force on the bound electrons is felt only for a very short time. Since only a small number of electrons in the tail of a 4-eV distribution, say, have enough energy to ionize, σion increases exponentially with KTe up to temperatures of 100 eV or so. Double ionizations are extremely rare in a single collision, but a singly ionized atom can be ionized in another collision with an electron to become doubly ionized; for instance Ar+ → Ar++ . Industrial plasmas are usually cool enough that almost all ions are only singly charged. Some ions have an affinity for electrons and can hold on to an extra one, becoming a negative ion. Cl− and the molecule SF6 − are common examples. There are electron attachment cross sections for this process, which occurs at very low electron temperatures. 3. Coulomb collisions; resistivity (Chen, p. 176ff). Now we consider collisions between charged particles (Coulomb collisions). We can give a physical description of the action and then the formulas that will be useful, but the derivation of these formulas is beyond our scope. When an electron collides with an ion, it feels the electric field of the positive ion from a distance and is gradually pulled toward it. Conversely, an electron can feel the repelling field of another electron when it is many atomic radii away. These particles are basically point charges, so they do not actually collide; they swing around one another and change their trajectories. We can define an effective cross section as πh2 , where h is the impact parameter (the distance the particle would miss its target by if it went straight) for which the trajectory is deflected by 90°. However, this is not the real cross section, because there is Debye shielding. A cloud of negative charge is attracted around any positive charge and shields out the electric field so that it is much weaker at large distances than it would otherwise be. This Debye cloud has a thickness of order λD. The amount of poten- Part A214 + + + + e Electrons “collide” via numerous small-angle deflections. + + + + e Fast electrons hardly collide at all. tial that can leak out of the Debye cloud is about ½KTe (see the discussion of presheath in Sec. II-5). Because of this shielding, incident particles suffer only a small change in trajectory most of the time. However, there are many such small-angle collisions, and their cumulative effect is to make the effective cross section larger. This effect is difficult to calculate exactly, but fortunately the details make little difference. The 90° cross section is to be multiplied by a factor ln Λ, where Λ is the ratio λD/h. Since only the logarithm of Λ enters, one does not have to evaluate Λ exactly; ln Λ can be approximated by 10 in almost all situations we shall encounter. The resulting approximate formulas for the electron-ion and electron-electron collision frequencies are, respectively, ν ν ei cm eV ee cm eV n T n T ≈ × ≈ × − − 2 9 10 58 10 6 3/2 6 3/2 . ln / . ln / Λ Λ , (4) where ncm is in cm−3 , TeV is KTe in eV, and lnΛ ≈ 10. There are, of course, many other types of collisions, but these formulas are all we need most of the time. Note that these frequencies depend only on Te, because the ions’ slight motion during the collision can be neglected. The factor n on the right is of course the density of the targets, but for singly charged ions the ion and electron densities are the same. Note also that the collision frequency varies as KTe −3/2 , or on v−3 . For charged particles, the collision rate decreases much faster with temperature than for neutral collisions. In hot plasmas, the particles collide so infrequently that we can consider the plasma to be collisionless. The resistivity of a piece of copper wire depends on how frequently the conduction electrons collide with the copper ions as they try to move through them to carry the current. Similarly, plasma has a resistivity related to the collision rate νei above. The specific resistivity of a plasma is given by η ν= m neei / 2 . (5) Note that the factor n cancels out because νei ∝ n. The plasma resistivity is independent of density. This is because the number of charge carriers increases with density, but so does the number of ions which slow them down. In practical units, resistivity is given by η|| . ln /= × −− 52 10 5 3/2 Z TeVΛ Ω m . (6) Here we have generalized to ions of charge Z and have added a parallel sign to η in anticipation of the magnetic Introduction to Gas Discharges 15 field case. 4. Transition between neutral- and ion-dominated electron collisions The behavior of a partially ionized plasma depends a great deal on the collisionality of the electrons. From the discussion above, we can compute their collision rate against neutrals and ions. Collisions between electrons themselves are not important here; these just redistribute the energies of the electrons so that they remain in a Maxwellian distribution. The collision rate between electrons and neutrals is given by ν σen n enn v= < > , (7) where the σ is the total cross section for e-n collisions but can be approximated by the elastic cross section, since the inelastic processes generally have smaller cross sections. The neutral density nn is related to the fill pressure nn0 of the gas. It is convenient to measure pressure in Torr or mTorr. A Torr of pressure supports the weight of a 1-mm high column of Hg, and atmospheric pressure is 760 Torr. A millitorr (mTorr) is also called a micron of pressure. Some people like to measure pressure in Pascals, where 1 Pa = 7.510 mTorr, or about 7 times as large as a mTorr. At 20°C and pressure of p mTorr, the neutral density is n pn ≈ × − 33 1013 3 . ( )mTorr cm . (8) If this were all ionized, the plasma density would be ne = ni = n = nn0, but only for a monatomic gas like argon. A diatomic gas like Cl2 would have n = 2nn0. Are e-i collisions as important as e-n collisions? To get a rough estimate of νen, we can take <σv> to be <σ>, σ to be ≈10-16 cm2 , and to be the thermal velocity vth, defined by 1/ 2 1/ 2 7 1/ 2 , (2 / ) , (2 / ) 6 10 cm/sec th th e e eV v KT m v KT m T ≡ = ≈ × . (9) We then have 13 16 7 1/ 2 5 1/ 2 (3.3 10 ) (10 ) 6 10 2 10 en eV mTorr eV p T p T ν − ≈ × × ≈ × i i . (10) (This formula is an order-of-magnitude estimate and is not to be used in exact calculations.) The electron-ion Part A216 - E Conductivity is determined by the average drift velocity u that an electron gets while colliding with neutrals or ions. In a wire, the number of target atoms is unrelated to the number of charge carriers, but in a plasma, the ion and electron densities are equal. collision frequency is given by Eq. (4): νei eVn T≈ × − 2 9 10 5 3/2 . / . (11) The ratio then gives ν ν ei en eV n p T≈ × − 1.5 10-10 2 . (12) The crossover point, when this ratio is unity, occurs for a density of 9 2 3 mTorr6.9 10 cmcrit eVn p T − ≈ × . (13) For instance, if p = 3 mTorr and KTe = 3 eV, the crossover density is ncrit = 1.9 × 1011 cm-3 . Thus, High Density Plasma (HDP) sources operating in the high 1011 to mid-1012 cm−3 range are controlled by electron-ion collisions, while older low-density sources such as the RIE operating in the 1010 to mid-1011 cm−3 range are controlled by electron-neutral collisions. The worst case is in between, when both types of collisions have to be taken into account. 5. Mobility, diffusion, ambipolar diffusion (Chen, p.155ff) Now that we know the collision rates, we can see how they affect the motions of the plasma particles. If we apply an electric field E (V/m) to a plasma, electrons will move in the −E direction and carry a current. For a fully ionized plasma, we have seen how to compute the specific resistivity η. The current density is then given by j E= /η A / m2 (14) In a weakly ionized gas, the electrons will come to a steady velocity as they lose energy in neutral collisions but regain it from the E-field between collisions. This average drift velocity is of course proportional to E, and the constant of proportionality is called the mobility µ, which is related to the collision frequency: , /e ene mµ µ ν= − =u E . (15) By e we always mean the magnitude of the elementary charge. There is an analogous expression for ion mobility, but the ions will not carry much current. The flux of electrons Γe and the corresponding current density are given by ,e e e e en enµ µΓ = − =E j E, (16) Introduction to Gas Discharges 17 0 r aa n(r) ∇n −Da ∇n Eambipolar and similarly for ions. How do these E-fields get into the plasma when there is Debye shielding? If one applies a voltage to part of the wall or to an electrode inside the plasma, electrons will move so as to shield it out, but because of the presheath effect a small electric field will always leak out into the plasma. The presheath field can be large only at high pressures. To apply larger E-fields, one can use inductive coupling, in which a time-varying magnetic field is imposed on the plasma by external antennas or coils, and this field induces an electric field by Faraday’s Law. Electron currents in the plasma will still try to shield out this induced field, but in a different way; magnetic fields can reduce this shielding. We shall discuss this further under Plasma Sources. The plasma density will usually be nonuniform, being high in the middle and tapering off toward the walls. Each species will diffuse toward the wall; more specifically, toward regions of lower density. The diffusion velocity is proportional to the density gradient ∇n, and the constant of proportionality is the diffusion coefficient D: / , /e e enD n n D KT mν= − ∇ =u , (17) and similarly for the ions. The diffusion flux is then given by Γ = − ∇D n . (18) Note that D has dimensions of an area, and Γ is in units of number per square meter per second. The sum of the fluxes toward the wall from mobility and diffusion is then Γ Γ e e e i i i n D n n D n = − − ∇ = + − ∇ µ µ E E (19) Note that the sign is different in the mobility term. Since µ and D are larger for electrons than for ions, Γe will be larger than Γi, and there will soon be a large charge imbalance. To stay quasi-neutral, an electric field will naturally arise so as to speed up the diffusion of ions and retard the diffusion of electrons. This field, called the ambipolar field, exists in the body of the plasma where the collisions occur, not in the sheath. To calculate this field, we set Γe = Γi and solve for E. Adding and subtracting the equations in (19), we get Γ Γ Γ Γ Γ i e a i e i e i e i e i e n D D n n D D n + ≡ = − − + ∇ − ≡ = + − − ∇ 2 0 ( ) ( ) ( ) ( ) µ µ µ µ E E (20) Part A218 B n n Diffusion of an electron across a magnetic field From these we can solve for the ambipolar flux Γa, ob- taining Γa i e e i i e a D D n D n= − + + ∇ ≡ − ∇ µ µ µ µ . (21) We see that diffusion with the self-generated E-field, called ambipolar diffusion, follows the usual diffusion law, Eq. (18), but with an ambipolar diffusion coefficient Da defined in Eq. (21). Since, from (15) and (17), µ and D are related by µ = eD KT/ , (22) and µe is usually much greater than µi, Da is well approximated by 1e e a i i i i T T D D D T T   ≈ + ≈    , (23) meaning that the loss of plasma to the walls is slowed down to the loss rate of the slower species, modified by the temperature ratio. 6. Magnetic field effects; magnetic buckets (Chen, p. 176ff) Diffusion of plasma in a magnetic field is complicated, because particle motion is anisotropic. If there were no collisions and the cyclotron orbits were all smaller than the dimensions of the container, ions and electrons would not diffuse across B at all. They would just spin in their Larmor orbits and move freely in the z direction (the direction of B). But when they collide with one another or with a neutral, their guiding centers can get shifted, and then there can be cross-field diffusion. First, let us consider charged-neutral collisions. The transport coefficients D|| and µ|| along B are unchanged from Eqs. (15) and (17), but the coefficients across B are changed to the following: D D D KT m e m c c c c c c ⊥ ⊥= + = + = = || || || ( / ) , ( / ) , , 1 12 2 ω ν µ µ ω ν ν µ ν || (24) Here νc is the collision frequency against neutrals, and we have repeated the parallel definitions for convenience. It is understood that all these parameters depend on species, ions or electrons. If the ratio ωc/νc is small, the magnetic field has little effect. When it is large, the particles are strongly magnetized. When ωc/νc is of order Introduction to Gas Discharges 19 x B + + + + Like-particles collisions do not cause diffusion, because the orbits after the collision (dashed lines) have guiding centers that are simply rotated. x B - + +Collisions between positive and negative particles cause both guiding centers to move in the same direction, resulting in cross-field diffusion. unity, we have the in-between case. If σ and KT are the same, electrons have ωc/νc values √(M/m) times larger, and their Larmor radii are √(M/m) times smaller than for ions (a factor of 271 for argon). So in B-fields of 100- 1000 G, as one might have in processing machines, electrons would be strongly magnetized, and ions perhaps weakly magnetized or not magnetized at all. If ωc/νc is large, the “1” in Eq. (24) can be neglected, and we see that D⊥ ∝ νc, while D|| ∝ 1/νc. Thus, collisions impede diffusion along B but increases diffusion across B. We now consider collisions between strongly magnetized charged particles. It turns out that like-like collisions—that is, ion-ion or electron-electron collisions —do not produce any appreciable diffusion. That is because the two colliding particles have a center of mass, and all that happens in a collision is that the particles shift around relative to the center of mass. The center of mass itself doesn’t go anywhere. This is the reason we did not need to give the ion-ion collision frequency νii. However, when an electron and an ion collide with each other, both their gyration centers move in the same direction. The reason for this can be traced back to the fact that the two particles gyrate in opposite directions. So collisions between electrons and ions allow cross-field diffusion to occur. However, the cross-field mobility is zero, in the lowest approximation, because the vE drifts are equal. Consider what would happen if an ambipolar field were to build up in the radial direction in a cylindrical plasma. An E-field across B cannot move guiding centers along E, but only in the E × B direction (Sec. II- 4). If ions and electrons were to diffuse at different rates toward the wall, the resulting space charge would build up a radial electric field of such a sign as to retard the faster-diffusing species. But this E-field cannot slow up those particles; it can only spin them in the azimuthal direction. Then the plasma would spin faster and faster until it blows up. Fortunately, this does not happen because the ion and electron diffusion rates are the same across B in a fully ionized plasma. This is not a coincidence; it results from momentum conservation, there being no third species (neutrals) to take up the momentum. In summary, for a fully ionized plasma there is no cross-field mobility, and the cross-field diffusion coefficient, the same for ions and electrons, is given by: D n KT KT B c i e ⊥ ⊥ = +η ( ) 2 . (25) Here η⊥ is the transverse resistivity, which is about twice Part A220 n + e If the ions are weakly magnetized, electrons-ion collisions can be treated like electron-neutral collisions, but with a different collision frequency. Light emission excited by fast electrons shows the shape of the field lines in a magnetic bucket. as large at that given in Eq. (5). Note that we have given the label “c” to D⊥, standing for “classical”. This is because electrons do not always behave the way classical theory would predict; in fact, they almost never do. Electrons are so mobile that they can find other ways to get across the magnetic field. For instance, they can generate bursts of plasma oscillations, of such high frequency that one would not notice them, to move themselves by means of the electric fields of the waves. Or they can go along the B-field to the end of the discharge and then adjust the sheath drop there so as to change the potential along that field line and change the transverse electric fields in the plasma. This is one of the problems in controlled fusion; it has not yet been solved. Fortunately, ions are so slow that they have no such anomalous behavior, and they can be depended upon to move classically. In processing plasmas that have a magnetic field, electrons are strongly magnetized, but ions are almost unmagnetized. What do we do then? For parallel diffusion, the formulas are not affected. For transverse diffusion, we can use D⊥e for electrons and D||i for ions, but there in no rigorous theory for this. Plasma processing is so new that problems like this are still being researched. Finally, we come to “magnetic buckets,” which were invented at UCLA and are used in some plasma reactors. A magnetic bucket is a chamber in which the walls are covered with a localized magnetic field existing only near the surface. This field can be made with permanent magnets held in an array outside the chamber, and it has the shape of a “picket fence”, or multiple cusps (Chen, cover illustration). The idea is that the plasma is free to diffuse and make itself uniform inside the bucket, but when it tries to get out, it is impeded by the surface field. However, the surface field has leaks in it, and cool electrons are collisional enough to get through these leaks. One would not expect the fence to be very effective against loss of the bulk electrons. However, the “primary” electrons, the ones that have enough energy to ionize, are less collisional and may be confined in the bucket. There has been no definitive experiment on this, but in some reactors magnetic buckets have been found to confine plasmas better as they stream from the source toward the wafer. The following graphs provide cross section data for the homework problems. Introduction to Gas Discharges 21 Argon Momentum Transfer Cross Section 0.01 0.10 1.00 10.00 100.00 0.00 0.01 0.10 1.00 10.00 100.00 Electron energy (eV) Squareangstroms 0 4 8 12 16 0 20 40 60 80 100 Electron energy (eV) σ(10-16 cm2 ) Elastic collision cross section electrons on neutral argon (numerical fit) Part A222 0 2 4 6 8 0 2 4 6 8 10 Te (eV) n0<σv>(MHz) Ar H2 He Ne Collision frequency per mTorr Argon Ionization Cross Section 0.0 0.1 1.0 10.0 10 100 1000 Electron energy (eV) σion(10 -16 cm 2 ) Introduction to Gas Discharges 23 Ionization probability in argon 1E-32 1E-28 1E-24 1E-20 1E-16 1E-12 1E-08 1E-04 0 1 10 100KTe (eV) <συ> Ionization cross sections 0 1 2 3 4 5 6 7 0 20 40 60 80 100 120 140 160 Electron energy (eV) σ(10 -16 cm -2 ) Xe Kr Ar Ne He Part A224 0 2 4 6 8 10 12 14 15 20 25 30 35 E (eV) σ(10-18 cm2 ) Excitation cross section for Argon 488 nm line Plasma Sources I 25 . A typical plasma reactor Typical density limits in plasma sources 1E+08 1E+09 1E+10 1E+11 1E+12 1E+13 Electron density (per cc) HELICO ICP ECR RIE Maximum useful density at wafer level Densities available in various types of plasma reactors. Coburn’s famous graph shows that the etch rate is greatly enhanced when a plasma is added. On left: only chemical etching. On right: only plasma sputtering. 0 1 2 3 4 5 -12 -8 -4 0 4 8 12r (cm) n(1010 cm-3 ) 900 W 600 W 300 W 5 mTorr, 1.9 MHz Typical uniformity in a reactor. PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. F.F. Chen PART A3: PLASMA SOURCES I IV. INTRODUCTION TO PLASMA SOURCES 1. Desirable characteristics of plasma processing sources The ideal plasma generator would excel in all of the following characteristics, but some compromises are always necessary. Advanced plasma tools are in production that satisfy these criteria quite well. What is important, however, is the combination of the tool and the process. For instance, etching SiO2 requires both a source and a procedure. The commercial product is often not just the tool but the process, including the source, the settings, and the timing developed to perform a given task. • Etch rate. High etch rate normally requires high plasma density. Some experiments have shown that, more exactly, the etch rate is proportional to the ion energy flux; that is, to the ion flux to the wafer times the average energy of the ions. High etch rate is especially important in the fabrication of MEMS (MicroElectroMechanical Systems), where large amounts of material has to be removed. • Uniformity. To process a wafer evenly from center to edge requires a plasma that is uniform in density, temperature, and potential. Computer chips near the edge of a wafer often suffer from substandard processing, resulting in a lower speed rating for those CPUs. • Anisotropy. To etch straight trench walls, the ions must impinge on the wafer at normal incidence; this is called anisotropy. To achieve this, the sheath edge must be planar all the way across the wafer. • Selectivity. By this we mean the ability to etch one material faster than another. Polysilicon etches faster than SiO2. To etch SiO2 preferentially requires a fortuitous series of events. There is always deposition of hydrocarbon polymers during the etching process, and these inhibit further etching. Both poly and oxide are covered, but the polymer layer is more easily removed from SiO2 because of the oxygen that is released from SiO2. The polymer layer prevents further etching of the silicon. A critical problem is the photoresist/polysilicon selectivity, which currently has a low value around 5. Increasing Part A326 Anisotropy permits etching downwards without going sideways. These deep trenches actually require help from polymers deposited on the sides. Source: Applied Materials. PLASMA + + + + + + + + + Photoresist Substrate Silicon or SiO2 ION Cl atom SHEATH Selectivity allows overetching without cutting into the next layer. A 2D plot of plasma density shows uniform coverage of a large area. Poly Si Gate contact Field oxide Gate oxide Polysilicon substrate conduction channel bird's beak to source to drain Plasma etching tends to build up large voltages across thin insulators, damaging them. This is a serious problem this number would alleviate deformation of the mask during processing. Because of these indirect effects, it is not clear what properties of the plasma source control selectivity. One hopes that by altering the electron velocity distribution f(v), one could change the chemical precursors in such a way as to control selectivity. • Area coverage. The semiconductor industry started with Si wafers of 4-inch diameter, gradually increasing to 6, 8, and 12 inches. Current production is based on 200mm (8-inch) wafers, and the plan is to retool both ingot factories and fabs for 300-mm wafers. More chips can be produced at once with these large wafers, since the size of each chip—the die size—is kept relatively constant. Plasma sources have already been developed to cover 12-in wafers uniformly. The flat-panel display industry, however, uses glass substrates as large as 600 by 900 mm. Plasma sources of this size are now used for deposition, but low-pressure sources for etching will be needed in the future. • Low damage. Thin oxide layers are easily damaged during plasma processing, and this is a serious problem for the industry. Nonuniform sheath drops and magnetic fields near the wafer have been shown to increase damage, but these problems are under control with current plasma tools. Damage by energetic ion bombardment and UV radiation are lesser effects compared with electron shading. The latter occurs when ions but not electrons reach the bottom of a trench being etched, causing a charge buildup which drives current through the insulating oxide layer. There has been considerable evidence that low Te will minimize electron shading damage, but the picture is far from clear. • Adaptability. Since each process requires a different gas mixture, pressure, power level, etc., plasma sources should be able to operate under a variety of conditions. Newer plasma tools have more adjustable pa- Plasma Sources I 27 A cluster tool like this has a central load lock which shuffles wafers into different plasma reactors for etching, deposition, or stripping. Source: BPS This footprint is too large to be economical. This footprint is unbearable. rameters, such as magnetic field shape and independent power sources, to make them more versatile. • Reliability. In a factory, equipment failures cause expensive delays. Simple design can lead to more reliable plasma sources. • Small footprint. Compactness is an important attribute when hundreds of machines need to be housed in a fabrication facility. • Benign materials. To keep contamination down, very few materials are admissible in a plasma source. Since the wafer is silicon, Si walls are desirable. Often, glass or quartz, which are mostly Si, are used. Aluminum and alumina are common wall materials. Plasma sources which require internal electrodes would introduce metals into the chamber. 2. Elements of a plasma source There are four main subsystems to a plasma source: the vacuum system, the gas handling system, the cooling system, and the discharge power source. Plasmas that require a magnetic field would also need field coils and their power supply. Vacuum system To make a plasma, we must first create a vacuum. Atmospheric pressure is 760 Torr, and operating pressure in a plasma reactor is generally between 1 mTorr and 100 Torr. The base pressure, before the chamber is filled with gas, has to be much lower than the operating pressure in order to keep down the partial pressure of contaminants. Thus, base pressures are at least 10-5 , and sometimes 10-6 (the Torr is understood). This is not usually a problem. Ultra-high-vacuum (UHV) systems can get down to 10-10 , but these are not generally needed. (High-energy accelerators can get down to below 10-20 Torr, approaching the vacuum of outer space.) The turbomolecular pump, or turbopump, is universally used nowadays. This has a multi-slotted fan blade that spins at a high velocity, physically blowing the gas out of the vacuum chamber. The rotor has to be supported by a very good bearing, sometimes oil cooled, or by magnetic suspension. The speed is controlled by an electronic circuit. Old pumps used oil or mercury vapor, which can get back into the chamber and contaminate it; but turbopumps are basically clean. The fan blade, however, cannot maintain the large pressure differential between high vacuum and atmospheric pressure; the air on one Part A328 Because of their noise and exhaust, the forepump and roughing pump are usually put behind a wall, outside the clean room. side would give so much drag that the blade could not spin at the required speed. So a turbopump has to be backed up by a forepump, or backing pump. There are many types of these, but they are all mechanical. For instance, a diaphragm pump moves a diaphragm back and forth and valves open and close to move the air from one side to the other. To pump the corrosive gases used in plasma processing, all the materials have to be chemically inert, and these dry pumps are considerably more expensive. The forepump generally provides a pressure, called the forepressure, of 1 to 50 mTorr, and the turbopump can then maintain the differential between this pressure and the base pressure. Gases are consumed in plasma processing, and large pumps are necessary to maintain a large flow rate. To maintain high conductivity, the pump is connected to the plasma chamber through a short, large-diameter pipe. Between them there is usually a gate valve. The hose from the turbo pump to the forepump does not need to be so large and short, since it handles the gas flow at a much higher pressure. The noisy forepumps are usually located on the other side of a wall. To be able to keep the turbopump running while the chamber is let up to atmospheric pressure to make a change, it is useful to connect the chamber to a roughing pump through a valve. This can bring the chamber down to a pressure (≈50 mTorr) at which it is safe to open the gate valve to the turbopump. Gas handling system. The mixture of gases to be used in a process is formed in a gas manifold, into which gases from different tanks are fed through flow regulators. All this is electronically controlled. The gas mixture is then put into the process chamber through a showerhead, which is a circular tube with many equally spaced holes in it that distribute the gas uniformly around the inside circumference of the chamber. The flow rate is measured in sccm (standard cubic centimeters per minute), which is the number of cc of gas at STP flowing through per minute. The pumping rate, or speed S, of a pump, however, is measured in liters per second, which is a measure of volume, not amount of gas. Except at very high pressures, S does not depend on the pressure, so the number of sccm that a pump can remove depends on the operating pressure. In processes that consume a lot of gas, the flow rate must be high in order to keep the neutral pressure TURBO PUMP ROUGHING PUMP PROCESS CHAMBER PLASMA SUBSTRATE SHUTOFF VALVE ROUGHING VALVE FORE PUMP ION GAUGE PIRANIGAUGE Plasma Sources I 29 POW ER AMPLIFIER OSCILLATOR MATCH BOX PLASMA ANTENNA POW ER MONITORS REFL. IN COAX CABLE SINGLE GROUND POINT Elements of an RF power system. The frequency generator and power amplifier are usually in one chassis, while the matching circuit and the meters that measure the input and reflected power are in another chassis. Autotune circuits sense the amount of reflected power and automatically change the variable capacitors to minimize it. low. This is desirable, for instance, to keep the wafer sheath collisionless so that the accelerated ions are not deflected, or to keep dust particles from forming. Therefore, large turbopumps, with apertures of, say, 12 inches, and pumping speeds in the thousands of liters per second, can be found on plasma reactors. The gas handling system, with numerous inputs from tanks of gases, flow meters and flow controls, and computer interface, can be a large part of the plasma system. Cooling system. One of the disadvantages of plasma processing is that a lot of heat is generated. Walls of the chamber are usually water-cooled. Antenna wires are made of copper tubes with water flowing through them. The most critical cooling requirement is imposed by the wafer, which has to be maintained at a given temperature for each process, and which tends to be heated severely by plasma bombardment. Helium is introduced to the back side of the wafer through holes in the chuck which holds it. This gas is made to flow under the wafer to keep it at a uniform temperature. It is not necessary to create a space for the helium to flow; the underside of the wafer is usually rough enough. Discharge power system. To ionize and heat a plasma, electrical power is applied either at a radiofrequency (RF) or at a microwave frequency. The vast majority of sources use the industrially assigned frequency of 13.56 MHz. Some work at a harmonic or subharmonic of this, and some experimental sources run at frequencies higher or lower than this range. Electron cyclotron resonance (ECR) sources are driven at 2.45 GHz, the same as is used in microwave ovens. RF sources are usually driven by a solid-state power amplifier with a built-in oscillator to generate the signal. The output into a 50-Ω cable is usually 2 kW or less. The cable then goes into a matching network, or matchbox, which performs the important function of transforming the impedance of the antenna-plasma system to the 50-Ω impedance of the rest of the circuit. Before passing through the matching network, the power goes through directional couplers which measure the power flowing into the antenna and back from it. This reflection has to be kept low (< 1%) to protect the amplifier and to make best use of its power. The main elements of the matching network are two (physically) Part A330 An ECR source, with the resonance zone shown shaded (from L & L). large, adjustable vacuum capacitors. The tuning is done by varying the capacitances of these two elements. Since the RF current in the capacitors is displacement current in vacuum, there is very little power loss in such a circuit. Sometimes a variable inductor is used, consisting of two coils, one of which can be rotated to change the mutual coupling. Industrial tools invariably have automatch circuits, in which the tuning capacitors are automatically adjusted by motors driven by a circuit that detects the reflected power and tries to minimize it. Once the operating conditions of the plasma source are set, the automatch circuit has no problem finding the minimum and keeping the system tuned as the plasma conditions change. However, finding the vicinity of the correct match may be difficult initially. After the match circuit, the power is fed to the antenna through cables (several may be needed to carry the current) or a parallel transmission line. At this point there may be very high voltages, exceeding 1 kV. The length of the line affects the tuning conditions sensitively. In a capacitive discharge, the RF is connected directly to the internal electrodes. In an inductive discharge, the power goes to an external antenna, which is wound around the chamber in various ways depending on the type of source. In experimental systems there may be sensors to measure the RF voltage and current applied to the antenna. ECR sources are driven by a magnetron providing 2.45-GHz power, which is transmitted in a waveguide. A “Magic T” device serves the function of the matching network in RF systems. The waveguide then goes to a horn antenna, which launches the microwave power into the plasma through a window. This vacuum window is a critical element, since it has to be made of a material such as quartz or ruby and tends to crack under high power. It also can be coated by deposits from the plasma. Since an ECR source has to strike a cyclotron resonance, magnetic coils have to provide the resonant field of 875 G somewhere in the plasma. Magnet coils are usually water-cooled copper tubes wound with many turns and held together by epoxy. They are driven by a low-voltage, high-current power supply such as those used for arc welding, only with better filtering. Plasma Sources II 31 Gas inlet Gas outlet Main RF He coolant Chuck Bias RF Powered electrode Wafer Grounded electrode PLASMA SHEATH SHEATH Fig. 1. Schematic of a parallel-plate capacitive discharge, called a Reactive Ion Etcher (RIE) PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. F.F. Chen PART A4: PLASMA SOURCES II V. RIE DISCHARGES (L & L, Chap 11, p. 327ff) These simple devices, which were the staple of the industry until the mid-90s, consist of two flat, circular electrodes, about 20 cm in diameter, separated by about 10 cm. The wafer to be processed is mounted onto the bottom plate and held firmly by a “chuck”, which includes connections for the helium coolant and for connecting to a bias oscillator, which we will discuss later. To produce the plasma, RF power may be applied to either or both plates. The sidewalls may be of an insulating material such as aluminum oxide, or a metal such as stainless steel, which can be grounded. For definiteness in what follows, we shall assume that the wafer-bearing plate is grounded and the upper plate oscillates at 13.56 MHz. Gas is fed into the vacuum chamber, and the RF field electric field causes the first few electrons (there are always a few from cosmic rays or whatever) to oscillate and gain enough energy to ionize atoms. The electrons thus freed will also gain energy and cause further ionizations. This electron avalanche quickly fills the chamber with plasma, whose density and temperature depend on the RF power applied and on the neutral pressure. The plasma is isolated from the electrodes and the walls by sheaths, and the RF fields are subsequently coupled to the plasma through the capacitances of the sheaths. These sheaths control the ion flux to the wafer, and it behooves us to examine them in some detail. 1. Debye sheath. Consider first the sheath on a grounded wafer bounding a plasma that is not oscillating. Let the plasma potential (space potential) be Vs and the wafer potential be Vw < Vs. From our discussion of presheaths in Eq. (A1-22), the plasma density ns at the sheath edge will be about ½n. The ion flux through the sheath from the plasma to the wafer is given by Γi s s s en c c KT M= =, ( / )1/2 (1) The random flux of electrons entering the sheath is nvr, where vr = ¼ v, vbeing the average electron velocity in any direction (Chen, p. 228): Part A432 V x PLASMA Debye sheath Child- Langmuir sheath Fig. 2 Artificial separation of the sheath into a Debye sheath (which contains electrons) and a ChildLangmuir sheath (which has ions only). 1/ 2 1 2 4 2 e r v KT v mπ   = =     . (2) The flux of electrons getting through the sheath barrier to the wafer is then ( ) /w s ee V V KT e rnv e − Γ = . (3) Setting Γi = Γe and solving for the sheath drop, we obtain ln 2 2 e w s KT m V V e M π  − =     (4) This amounts to –3.53TeV for hydrogen and –5.38TeV, or about 5TeV, for argon. The Debye length for TeV = 5 and n = 1011 cm-3 , say, is, from Eq. (A1-7), 5 7.4 52 m 0.1 Dλ µ= = . The sheath thickness s can be obtained only by integration, but it is of order 5λD; thus, in this case the Debye sheath is about 0.25 mm in thickness, and the sheath drop is about 5 × 5 = 25 V. 2. Child-Langmuir sheath. When a voltage is applied between the plates, the sheath drop cannot be 25 V on both plates; at least one of them must have a much larger sheath drop to take up the RF potential of hundred of volts that is applied. These large potential drops, much larger than KTe, occur in a layer called a Child-Langmuir sheath, that joins smoothly onto the Debye sheath and extends all the way to the wall. This differs from the Debye sheath because only one charged species, in this case ions, exists in the C-L sheath, the electrons having almost all been turned back before they reach it. Those that remain are so few that they contribute a negligible amount to the charge in the C-L sheath. The current density j, voltage drop V0, and thickness d are related by the Child-Langmuir Law of Space-Charge-Limited Diodes (Chen, p. 294, L & L, p. 165): 1/ 2 3/ 2 0 0 2 4 2 9 Ve j M d ε  =     (5) Plasma Sources II 33 0.1 1 10 100 1000 1 10 100 x / λD -eV/KTe C-L Exact Fig. 3. An exact calculation for a plane sheath shows that C-L scaling is not followed unless the sheath is very thick (log-log scale). We can equate this to the ion current density j = enscs and solve for d; the result is: 1/ 2 3/ 2 2 0 04 2 9 eV s V d e T n ε   =     . (6) Multiplying and dividing by KTe to form a factor equal to λD 2 [Eq. (A1-5)], we can express d in terms of λD as: 3/ 4 02 2 3 D eV V d T λ   =     . (7) This formula differs by √2 from standard treatments because we have evaluated λD in the plasma proper, not at the sheath edge, where the density is half as large. As an example, let V0 = 400 V and KTe = 5 eV; this gives d = 15λD, or about 0.8 mm for the example used above. Thus, the total sheath thickness s + d is about 20λD = 1 mm. This is much larger than feature sizes on the chip but much smaller than discharge dimensions. A density of 1011 cm-3 is high for an RIE plasma, however; total sheath thicknesses over 1 cm, an appreciable fraction of the discharge height, are often seen in RIE discharges at lower densities and higher temperatures. Note that d varies as V0 3/4 . This approximation is not really a good one, as the exact solution (Fig. 3) for the combined sheaths shows. The slope of 3/4 is followed only in very thick sheaths at very high potentials. At the high pressures necessary to get high plasma densities, the collision mean free path of the ions can be shorter than the sheath thickness. Ions can then scatter in the sheath, thus making anisotropic etching more problematical. 3. Applying a DC bias Consider a parallel-plate system with plate A (the wafer side) grounded. If plate B (the hot side) is also at zero potential, there will be identical sheaths of ~5KTe on each. For instance, if KTe = 2 V, Vs – VB might be 10V. If plate B is now made more positive, so that VB = 5V, say, Vs – VB must still be 10V, so Vs must rise to ≈ 15V. This is because if Vs – VB were only 5V, more electrons would flow to plate B than ions, and the loss of negative charge would immediately raise Vs. On the other hand, if plate B were to go negative, to −5V, say, Vs need not change. Vs – VB is now ≈ 15V, and the extra 5 volts is taken up by a Child-Langmuir sheath, while Vs is Part A434 V 0 0 A B KTe = 2 eV VB = 0 ~10 V V 0 0 A B KTe = 2 eV VB = -5 V V 0 A B KTe = 2 eV VB = 5 V 0 Fig. 4. Illustrating the change in plasma potential when one electrode is biased. V x PLASMA SHEATH 1 SHEATH 2 + - + Fig. 5. Illustrating the slight difference in particle flows to asymmetric sheaths (from Part A1). maintained at just below 10V by the sheath on plate A. Thus, the plasma potential always follows the potential of the most positive electrode or section of the wall. With an RF power supply driving plate B with a sinusoidal voltage, Vs will follow the positive excursions but will remain at the potential set by plate A during the negative excursions of plate B. Meanwhile, plate A (the wafer) will have a constant sheath drop (10V in our example) when plate B is negative, but will have a larger sheath drop with a C-L sheath whenever plate B is positive. Thus, the time-averaged sheath drop will be larger in the presence of an RF drive, and the average ion will impinge on the wafer with higher energy. Since the RF power controls the plasma density also, the ion current and energy for anisotropic etching cannot be controlled independently in a single-frequency RIE discharge. To make this more quantitative and extend the treatment to asymmetric discharges, let the area of A be AA and that of B be AB. Thus, when these are equal, we have two similar plates at the top and bottom of the discharge, and when AB << AA, we have a small plate while the rest of the enclosure may be grounded. For the present, we do not consider a grounded sidewall, which would form a third electrode. Using Eqs. (1) and (3), we can equate the ion and electron fluxes to both electrodes: ½ , ¼ vi s ernc nΓ = Γ = (8) ( ) / ( ) / ( ) ( )A s e B s ee V V KT e V V KT A B i er A BA A A e A e− − + Γ = Γ + (9) where VA and VB are the potentials applied to the two electrodes. We can simplify this equation by setting VA = 0 on the larger electrode and defining the following dimensionless quantities: ½ ½ , , 1 ¼ 2 s B e A nc m eV A nv M KT A π ε η δ   ≡ = ≡ ≡ <    . (10) Dividing by AA , we obtain ( ) (1 ) s B se eη η η δ ε δ− − + = + . The last term is valid only if ηB < ηs, since the electron flux cannot exceed the saturation value Γe. If ηB > ηs, exp(ηB − ηs ) is replaced by 1. Thus, we have two cases: for ηB < ηs, we have Plasma Sources II 35 B A δ << 1 B A δ = 1 B A δ < 1 Fig. 6. Capacitive discharge with asymmetric electrodes. 1 (1 ) (1 ), ln (1 ) B s B s e e e η η η δ δ ε δ η δ ε −  + + = + =    +  (11) and for ηB > ηs, we have (1 ) , ln[(1 ) ]s se η δ ε δ η δ ε δ− + = + = − + − . (12) Since the argument of the logarithm has to be positive, the latter case cannot occur unless , 1 δ ε δ δ ε δ > ≈ < + . (13) This means that B cannot draw saturation electron current unless the area ratio is less than ε, which is 0.0046 for argon. If AB is that small (very unlikely), B is effectively a wall probe, and we shall use probe theory to describe it. For the normal case, Eq. (11) is valid, and Vs will follow positive excursions in VB. When no bias is applied (VB = 0), Eq. (11) reduces to 0 1 ln 5.38s s s e eV KT η η ε   = = = =    for argon, (14) as we saw following Eq. (4). This is the normal sheath drop. When δ = 1, Eq. (11) becomes B 0 ln[½(1+e )]s s η η η= + . (15) As expected, this shows that the Vs is close to Vso when VB is negative and approximately follows VB when VB is positive. 4. Applying an RF bias One cannot apply a DC bias to a wafer, since at least some of the layers deposited on the wafer are insulating. However, it is possible to impose a time-averaged DC bias with RF. At RF frequencies, the ions are too massive to follow the fluctuations and will flow to each wall with the same flux Γi. The electrons respond so fast that they can maintain a Maxwellian distribution at every phase of the RF. Thus, the sheath at each phase of the RF will be the same as a DC sheath at the instantaneous voltage of the electrode. If we assume a sinusoidal oscillation of VB with an amplitude BV ! , sin , sinB B B BV V t tω η η ω= = ! ! , (16) Eq. (11) gives the instantaneous plasma potential as Part A436 -120 0 120 0 90 180 270 360 ωt V Vs VB Vso VB = 100 V peak, Te = 3eV, equal areas Fig. 7. The sheath drop and its dc average when the electrode voltage varies sinusoidally. -120 0 120 0 90 180 270 360 ωt VB -1.0 -0.5 0.0 0.5 1.0 ΓeB/Γtot Voltage on B Fractional current to B VB = 100 V peak, Te = 3eV, equal areas Fig. 8. The instantaneous electron current to the powered electrode. 0.0 0.5 1.0 0 90 180 270 360 ωt ΓeB/Γtot 1.0 0.1 0.01 Area ratio Fractional electron flux for various area ratios VB = 100 V peak, Te = 3eV Fig. 9. The area ratio makes little difference in the current... 0 60 120 0 90 180 270 360 ωt Vs 1.0 0.1 0.01 AB/AA Sheath drop for different area ratios VB = 100 V peak, Te = 3eV Fig. 10. ...or the sheath drop. 1 exp( sin ) ln (1 ) B s tδ η ω η δ ε  + =  +  ! . (17) The behavior of Vs during one RF cycle is shown in Fig. 7 for δ = 1 (equal areas) and BV ! = 100 V. As expected, the space potential rises with VB when it goes positive, but remains around Vs0 when VB goes negative. The average space potential is then higher than Vs0. Since = = 0, the average sheath drop on B is s B s B s s AV V V V V V V< − > = < > − < > = < > = < − > (18) This is the accelerating potential seen by the ions and is the same on both electrodes. Thus, the ion energy impinging on the wafer is increased when RF power is applied to electrode B to strike the discharge. In this case the increase is from 16V to 46V. One can say that the sheath rectifies the RF, increasing the negative bias on the wafer relative to the plasma. In RIE discharges, the density of the plasma can be increased only by increasing the power applied, and this necessarily increases the ion energy. Though one cannot reduce the ion energy, one can increase it by applying another oscillator to the wafer electrode A. This is called an RF bias oscillator. It can be at the same frequency as the discharge power or at another (usually lower) frequency. We next consider the electron current to an electrode during an oscillation. The electron flux to B is exp( )eB B er B sA η ηΓ = Γ − (19) The fractional current, normalized to the total ion current of Eq. (9), is then 1ˆ sin11 (1 ) 1 1 1 sB B B B eB erB tot A B i t A e e A A e e e ηη η η ω η δ δ ε δ δ ε δ − −−− Γ Γ = Γ + Γ  +  = = +   + +  (20) where we have used Eq. (17) for exp(−ηs). This is shown in Eq. (8) for δ = 1. We see that the current flows only on the positive half-cycle of VB but flows during that entire half cycle because we have set VB >> KTe/e. This picture is not changed appreciably even if we made B a small electrode, as Figs. 9 and 11 show. Indeed, δ makes little difference in either the instantaneous sheath drop or the average sheath drop, as shown by calculations using Eqs. (17) and (18). Plasma Sources II 37 0 100 200 0 100 200 300 400 500 Peak voltage on B Averagesheathdrop(V) 1.0 0.01 0.0001 Area ratio DC sheath drop vs. bias voltage for various size electrodes Fig. 11. Sheath drop vs. RF voltage for various area ratios. VA V Vs h a Fig. 12. A “triode” RIE reactor with individually biased wafers and walls. CB CA RFRp VsB VsA Cp Lp PLASMA RB RA Fig. 13. Electrical representation of the sheath-plasma system A real RIE reactor, of course, has walls in addition to the top and bottom electrodes. If the sidewalls are insulators, no net current can flow to them, and therefore their surfaces must charge up to an oscillating voltage that follows Vs, keeping the sheath drop at Vs0. This oscillation may couple capacitively through the sidewalls to ground, in which case the walls are not completely insulating−−they may be ACgrounded. If the sidewalls are grounded conductors, they must be treated as a third electrode. Now when different RF voltages and frequencies are applied to A and B, the total electron and ion fluxes must be set equal to each other when summed over all three conductors. The space potential will oscillate with both frequencies and the beat between them. The calculation is a trivial extension of what we have done here so far. 5. Displacement current Up to now we have considered the plasma to be a perfect conductor, so that the sheath voltage Vs is the same on all boundaries. Actually, there is an appreciable resistivity to a low-temperature, weakly ionized plasma, and we must consider the plasma and the sheaths to be part of an electric circuit. The plasma can be represented by a resistance Rp and an inductance Lp in parallel with a capacitance Cp. Rp is due to electron collisions with neutrals and ions as they drift to carry the RF current. The effect of collisions with neutrals is given by the mobility formula given in Eq. (A2-15), and that with ions by Eq. (A2-6). Lp is due to the relative inertia of the ions and electrons, which causes them to respond differently to an AC field; this effect is negligibly small. Cp is the coupling from one plate to the other via displacment current; this is in parallel because that current would flow even if the plasma had infinite resistance. Since the plates are so far apart, this capacitance is also negligible. For the moment, let us assume Rp = 0 as before, so that we can concentrate on the displacement current. VsA and VsB are the sheath drops on each electrode, RA and RB the nonlinear conduction currents through the sheath, and CA and CB the sheath capacitances. Each sheath of area A has a capacitance 0 / , Debye C LC A d d s dε −= = + , (21) and C will oscillate as d oscillates. The sheath impedance is Z = 1/jωC, and for a voltage V across the sheath, the displacement current Id is given by Part A438 0/ /dI V Z j CV j V A dω ω ε= = = . (22) This RF current has to pass through both sheaths, so we have ( ) ( )0 0/ /d A sA A B sB BI j A V d j A V dωε ωε= = , (23) where VsA is the sheath drop on sheath A, etc. Assuming the sheath thicknesses to be about the same on average, we have sB A sA B V A V A = . (24) Thus, there is a voltage divider action which depends on δ. In an asymmetric discharge, the smaller electrode sees more of the applied RF voltage than the larger electrode, so the average sheath drop is larger on the smaller electrode. This effect on the ion energy to the wafer is much larger than the δ-effect from sheath rectification, which is also in the opposite direction. To see the magnitude of the displacement current, we can compare it with the (electron) conduction current, which on average is of the order of the ion current Ii = ½neAcs. Thus, 02 2 1d i s cm I f V I d n ec n π ε 12 10 = ≈ . (25) Here we have taken f = 13.56 MHz, V ≈ 400 V, d ≈ 1 mm, and KTe ≈ 5 eV in argon. This ratio is unity when n = 1012 cm-3 . In RIE tools, n is much smaller than this, so that the displacement current is dominant. The power from the RF source is coupled to the plasma through the sheath capacitances and drives the plasma current, carried by electrons, through the plasma, resistively heating and ionizing it. The sheath conduction currents discussed in the previous section play only a small rôle in the power balance, though they are responsible for the rectification effect that produces anisotropic ions. A more rigorous treatment of the electrical characteristics of the RIE discharge would require a circuit analysis of the equivalent circuit including both the conduction and displacement currents through the sheath. The fact that the conduction currents are not sinusoidal would produce harmonics of the RF frequency. If a bias oscillator is of different frequency is imposed on the wafer, there will also be beat frequencies. To prevent the two oscillators from loading each other, a bandstop filter can be put on each RF supply to prevent the frequency of the other supply from reaching it. The Plasma Sources II 39 0 120 0 90 180 270 360 ωt (degrees) Ei(V) ∆t ∆E Vs0 < Ei > Vpeak = 100 V Fig. 14. Ions hitting the wafer have an energy depending on the sheath drop at the time. The number of ions at each energy depends on the time that sheath drop lasts; that is, on the reciprocal of the slope of this curve. At higher frequencies, ion transit time has to be taken into account. displacement current can heat the wafer, increasing the cooling requirements, even though it does not help in giving the wafer a dc bias. If the bias power is large, it can heat the plasma and change its density and temperature. 6. Ion dynamics in the sheath With the sheath drop Vs - Vw swinging positive every other half cycle, electron flux to the wafer will come in pulses. One might think that the ion flux will also come in pulses. This is not always true: it depends on the frequency. We consider two extremes. At high frequency, the ions move so slowly in response to the RF that they drift more or less smoothly in the average sheath field rather than the instantaneous one. To see this, we can calculate the ion motion. Let the sheath drop be represented by a sinusoidal voltage of 400V peak-topeak, concentrated in a layer about 1 mm thick. The equation of motion of an ion is then 0( / ) cosM d dt e e tω= =v E E . (26) Here E0 has the value (200/10−3 ) = 2 × 105 V/m. Integrating twice over a half cycle, we obtain [ ] 0 20 02 sin , cos 1.3 10 cm ed t dt M e t M π ω ω ω ω − = = = − → × Ex v E x (27) Thus, the ions only jiggle by 0.1 mm at the RF frequency and are accelerated mainly by the time-averaged sheath field. The ions do not all strike the wafer with the same energy, however, since they have been accelerated different amounts depending on the phase of the RF at which they enter and leave the sheath. Moreover, the ion energy distribution is bi-modal, meaning that it has two peaks with a valley in between. To see how this comes about, consider the second extreme in which the frequency is so low that sheath drop does not change while the ions traverse it. Let the discharge be symmetric, so that δ = 1. Eq. (17) then can be written ( )ˆsin1 1 2 ts e eη η ω ε = + , (28) where ˆη is the peak normalized potential applied to either Part A440 electrode, the sheath drops being the same but opposite in phase on each electrode. Since we are neglecting the transit time, the ion energy Ei at any time is just the sheath drop eVs = ηsKTe at that time. Assuming that the ions enter the sheath at a constant rate, the number of ions with energy Ei would be proportional to the time Vs remains at the corresponding value. Thus, on the graph of Vs vs. ωt (Fig. 7), which we reproduce in Fig. 14 on an expanded scale, the number of ions with energy between Ei and Ei + ∆Ei is proportional to ∆t/∆Vs, or inversely proportional to the slope of the curve Vs(ωt). To simplify the writing, we introduce the abbre- viations ˆ/ , ,i e sE E KT t aη θ ω η≡ = ≡ ≡ . (29) Now we can write ( ) ( ) / d t A f E A dE dE d ω θ = = , (30) where A is a normalization constant. Eq. (28) can be written in these variables and differentiated: ( )sin sin 1 1 2 1 cos 2 E a E a e e e dE e a d θ θ ε θ θ ε = + = (31) Substituting for eE , we have sin sin sin cos cos 1 1 a a a ae d a d dE e e θ θ θ θ θ θ θ − = = + + . (32) Hence, sin 1 ( ) , ( ) cos a e f E A E a θ θ θ θ − + = = . (33) From Eq. (31), we find sin 2 1 sin ln(2 1)E a E e e a eθ ε θ ε− = ∴ = − . (34) Since the argument of the logarithm must be ≥ 0, there is a minimum value of E given by min ,min1/ 2 , ln(1/ 2 ) , ln(1/ 2 )E i ee E E KTε ε ε> = = . (35) The denominator of Eq. (33) can be found as a function of E by using Eq. (34): Plasma Sources II 41 0.00 0.01 0.02 0.03 0.04 0.05 0 20 40 60 80 100 120 140 Ei = eVs (eV) f(Ei) Emin Emax (a) (b) Fig. 15. Bimodal ion energy distribution (a) calculated from a simple model, and (b) measured experimentally. [Mizutani et. al., JVSTA 19, 1298 (2001)] Fig. 16. The IEDF is narrower if the RF sheath oscillates during the ions’ transit time. 2 2 2 2 cos 1 sin 1 [ln(2 1)]E a eθ θ ε− = − = − − . (36) Hence, finally, { } { } 1/22 2 1/22 2 1 1 2 1( ) [ln(2 1)] 2 (2 1) [ln(2 1)] E E E E E ef E A a e e A e a e ε ε ε ε ε + −= − − = − − − (37) There is also a maximum value of E given by the vanishing of the term in curly brackets: ln(2 1) , 2 1E E a e a e eε ε− = = + max 1 ln 2 a e E ε  + =     . (38) It would be difficult to integrate Eq. (37) to find the normalization constant A, but there is an easier way. From Eq. (30), we have 2 2 1 ( ) d f E dE A dE A d A dE π π θ θ π − = = = =∫ ∫ ∫ . (39) Hence A = 1/π, and the ion distribution function at the wafer is { } 1/22 2 1 2 /(2 1) ( ) ˆ [ln(2 1)] E E E e e f E e ε ε π η ε − = − − , (40) where E = Ei / KTe, ˆη is the peak RF drive voltage normalized to KTe, and ε is essentially the square root of the mass ratio. The behavior of f(E) can be seen as follows. This function diverges at Emin and Emax. For small E near Emin, (2εeE – 1) is small, and f(E) varies as 1/(2εeE – 1). For E near Emax, we may neglect the “1”s and ln(2ε). Then 2 2 2 2 1 1 ( ) ˆˆ 1 ( / )i f E E E Vη ∝ = − − . The actual f(E) will be smoother than the simplified one shown here, since the ions enter the sheath with a finite temperature and will also oscillate during their transit through a thick sheath. One would expect that the Part A442 Fig. 17 Collisionless sheath heating mechanism ions would have the energy of the DC sheath drop, spread out by the sheath oscillation during their last jiggle. Thus, f(E) will be relatively narrower but still bimodal. The ion flux that provides directionality to the etching process is therefore not completely controllable; the ions cannot all have the same energy. In laboratory experiments it has been shown that changing the bias oscillator’s waveform to a sawtooth-like wave can produce a more uniform f(E), but this has not been shown to be beneficial in practice. To get an idea of when the analytic solution of Eq. (40) is valid, consider the ion excursion calculated in Eq. (27). If we set x equal to the sheath thickness d and solve for ω, we obtain ω ≈ 3 × 108 sec-1 , or f ≈ 50 MHz. Thus, unless f << 50 MHz, the spread in Ei will be smaller than what we have computed neglecting the ion transit time. This has been confirmed by both computation and experiment. 7. Other effects in RIE reactors Stochastic heating. In most discharges, including the RIE, the plasma is maintained by ohmic heating; that is, the electron current is driven through the plasma by the applied electric field, and the electrons gain energy from the electric field between collisions. As they collide, the electron energy distribution function fe(E) (called EEDF in this industry) rapidly thermalizes into a Maxwellian but with a slight excess of high-energy electrons. This distortion of the EEDF is due to the fact that high energy electrons have a smaller collision cross section, as we have seen in Part A2. With or without this distortion, the small number of high-energy electrons in the “tail” of the EEDF is responsible for ionizing collisions that maintain the population of charged particles against diffusion to the walls. If it were not for the collisions, the electrons would simply oscillate back and forth as the RF field changed sign and lose as much energy as they gained. The collisions scatter the electrons so that they are moving in a different direction when the RF field reverses, and therefore do not lose exactly the same amount of energy that they gained. This scattering is responsible for the conversion of RF energy into plasma energy and the for the resistivity of the plasma and its ohmic heating. There is another randomizing mechanism besides collisions with ions or neutrals, however. Electrons can collide with sheaths. Most electrons are reflected by the sheath field when they approach a wall or electrode, gaining as much energy on reflection as they lost upon Plasma Sources II 43 Fig. 18. A low-Te bi-Maxwellian EEDF found in high-pressure RIEs [Godyak et al., Phys. Rev. Lett. 65, 996 (1990)]. incidence. If the sheath field changes in the meantime, however, the gain and loss may not cancel out. Some electrons, namely those that enter the sheath when the field is low and leave the sheath when the field is high, will have a net gain in energy. Others will have a net loss, but those that gain energy are important because they contribute to the tail of the Maxwellian. A few electrons will have such a velocity that, as they travel back and forth between the electrodes, they will arrive at each sheath just at the right phase and experience repeated accelerations. Such collisionless sheath heating has been treated extensively in theory and computation, but there has been little experimental evidence of its importance. Perhaps this effect contributes to the fact that RIE reactors tend to have higher electron temperatures than other tools. High pressure discharges At the opposite extreme, RIE discharges can be run at high pressure (100−500 mTorr), where the collision mean free path is so short that many collisions occur within the sheath. Such reactors are used, for instance, in some SiO2 etchers and in very large-area deposition machines for coating amorphous silicon onto glass substrates for flat-panel displays. The gap in such devices can be only 1−2 cm, and the sheaths can occupy most of the gap, leaving only a small quasineutral region. The EEDF there has been found to contain a population of very low energy electronsless than 1 eV. The electron heating mechanism is quite different from that in lowpressure discharges. Most of the electrons gain energy in the sheaths, where the electric fields can be large. By the time they diffuse to the quasineutral region, they have lost most of their energy by colliding with neutrals and ions, giving rise to the low-Te component. Gas flow and dust formation Since capacitive discharges are less efficient ionizers than other plasma sources that we shall discuss, higher pressure is needed to obtain a given plasma density. This is fine for deposition but is deleterious for etching, because collisions in the sheath can spoil the anisotropy. In deposition especially, a large amount of gas is consumed, so that the neutral gas must flow through the chamber at a high rate. The collisions of the gas with ions will push the ion population in the direction of the flow. This effect, which is negligible in lowpressure discharges, must be taken into account in cal- Part A444 Fig. 19. Dust particles suspended above three wafers and illuminated by a scanning laser beam [G.S. Selwyn, Plasma Sources Sci. Technol. 3, 340 (1994)]. culating the steady-state equilibrium of RIE discharges. This fact is dramatically illustrated by the observation of dust particles. The nucleation of solid particles in a processing plasma from molecules produced in etching or deposition processes occurs by a mechanism that is not yet completely understood. These particles start with submicron, perhaps nanometer, sizes and grow to diameters of 1 micron or even greater. When they reach macroscopic sizes, dust particles can be seen by sweeping a laser beam across them. A sheath builds up on each particle larger than λD to equalize the ion and electron fluxes to it, and the particle thus becomes negatively charged, containing the order of 104 electron charges. This charge Q can easily be computed, since the capacitance of the particle is given by CV = Q, where V is the same sheath drop that we calculated previously for electrodes and walls. Since the particles are negative, they will accumulate at local maxima of the potential, if there are any. Otherwise, they can find a stable position above the wafer where the repulsion of the sheath field is balanced by the downward pressure exerted by the gas flow. By making grooves in the substrate or inserting dielectric or other materials under it, it is possible to create a path of maximum potential which will lead the dust out of the discharge, but these methods are probably not practical in large-scale production. When the discharge is turned off, the negative dust is drawn onto the wafer by the collapsing sheath field, thus destroying any circuits that they land on. Note that putting the wafer on the upper electrode will not help, since gravity is much weaker than the electric fields involved. Particulate generation in RIE discharges is a serious problem that lowers the yield of viable chips on a wafer. This problem is not as serious in low-pressure discharges, where dust formation is much less likely. The GEC reference cell RIE discharges were developed by trial and error without a good understanding of their operation. When RIE tools were dominant in the industry before the 1990s, many experiments were made to elucidate the mechanisms in these sources such as those we have discussed here. However, each machine was different, and it was difficult to draw any universal conclusions. The participants in the Gaseous Electronics Conference (GEC) at which many of these results were presented decided to design a standard configuration that everyone Plasma Sources II 45 could duplicate for their experiments. Thus, the dimensions, materials, and mechanical and electrical design of this small machine (for processing 4" wafers) were specified. This GEC reference cell served to make observations of one group relevant to those of other groups. When inductive plasma sources were introduced, however, they differed from one another qualitatively, and, moreover, their designs were closely guarded secrets. There is no standard configuration for the more advanced plasma tools, and their development is pursued independently by each company. 8. Disadvantages of RIE reactors Since the RF power controls both the plasma density and the oscillations in Vs, the ion flux to the wafer cannot be varied independently of the ion energy. Capacitive discharges tend to have larger RF electric fields, and hence the minimum ion energy is relatively high, as is the spread in ion energies. Being less efficient in ionization than inductive discharges, RIE discharges produce lower plasma densities and require higher operating pressures. It is then more difficult to avoid ion collisions in the sheath and the formation of particulates. The electron temperature tends to be higher than in inductive discharges, and this could lead to a larger heat load on the wafer as well as other deleterious effects such as oxide damage. There is no obvious way to control the EEDF so as to adjust the populations of the chemical radicals at the wafer level. In spite of these disadvantages, RIE reactors are still best for certain processes such as PECVD (plasma enhanced chemical vapor deposition) where feed gas can be distributed evenly over a large area by covering one electrode with holes. Contrary to common perception, some RIE discharges are found to cause less oxide damage than inductive devices. These “dinosaurs” are still alive and still incompletely understood. And they have the advantage of being small and simple. 9. Modified RIE devices In addition to applying different RF powers and frequencies to the two electrodes and introducing a ground electrode, more substantive changes have been made to RIE reactors to improve their performance. The most popular of these is the MERIE: Magnetically Enhanced RIE. A magnetic field B is applied to the discharge parallel to the wafer surface. This reduces the diffusion losses of plasma in the directions perpendicular to B and enhances the density. The flux of electrons into Part A446 the sheath is also reduced, requiring a smaller Coulomb barrier, and thus reducing the fluctuation amplitude of the sheath voltage. However, it has been found that such a B-field increases damage to thin oxide layers, an effect attributed to accumulation of charge via the E × B drifts of the electron guiding centers. The plasma as a whole can become nonuniform because of these drifts. To avoid this, the direction of the magnetic field can rotated slowly in the azimuthal direction by using two sets of field coils separated by 90° and driven 90° out of phase. Another modification is to insert, half-way between the electrodes, a grounded plate with many small holes drilled in it. The discharge then tends to break up into numerous small discharges, one in each hole. These discharges are intense and can produce a high density even after diffusing into a uniform plasma at the wafer level. The plate also serves to isolate the RF fields produced by the primary RF drive and the bias oscillator. This device is confusingly called a hollow cathode discharge, presumably because real hollow cathode discharges have a source of electrons on axis and a ring or cylindrical anode (the positive electrode in a DC discharge) surrounding it. Gas inlet Gas outlet RF in He coolant Chuck RF in Electrode W afer Plasma Plate with holes Hollow cathode discharges Fig. 20. A “hollow cathode” parallel-plate capacitive discharge Plasma Sources III 47 Fig. 1. Mechanism of electron cyclotron heating Fig. 2. Various microwave mode patterns and ways to couple to them. Fig. 3. The shaded region is the resonance zone (L & L, p. 429). PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. F.F. Chen PART A5: PLASMA SOURCES III VI. ECR SOURCES (L & L, Chap. 13, p. 412) ECR discharges require a magnetic field such that the electrons’ cyclotron frequency is in resonance with the applied microwave frequency, usually 2.45 GHz. Both the large magnetic field of 875G and the microwave waveguide plumbing make these reactors more complicated and expensive than RIE reactors. Unless one uses tricky methods that depend on nonuniform magnetic fields and densities, microwaves cannot penetrate into a plasma if ωp > ω. Ατ 2.45 GHz, that means that the maximum density that can be produced, in principle, is 100 × (2.45/9)2 = 7.4 × 1010 cm-3 [Eq. (A1-9)]. However, this does not hold in the near-field of the launching device, usually a horn antenna or a loop or slot coupler. Densities of order 1012 cm-3 have been produced in ECR reactors because the free-space wavelength of 2.45-GHz radiation is 12.2 cm, and the interior of a 10 cm diam plasma is still within the near-field. This is discussed in more detail later. In cyclotron heating, electrons gyrate around the Bfield at ωc; and if the microwave field also rotates at this frequency, an electron will be pushed forward continuously, gaining energy rapidly. Those electrons moving in the wrong direction will be decelerated by the field, but will eventually be turned around and be accelerated in phase with the field. Though resonant electrons gain energy only in their cyclotron motion perpendicular to B, they collide rapidly with other electrons and, first, become isotropic in their velocity distribution and, second, transfer their energy to heat the entire electron population. Since a thermal electron can lose only its small thermal energy while an electron in the right phase can gain 100s of eV of energy while it is in resonance, there is a net gain of energy by the distribution as a whole. At very low pressures, electrons can gain 1000s of eV and become dangerous, generating harmful X-rays when they strike the wall. Fortunately, there are two mitigating factors besides collisions: electrons do not stay in the resonance zone very long because of their thermal velocities, and microwave sources tend to be incoherent, putting out short bursts of radiation with changing phase instead of one continuous wave. Actual ECR reactors in production have nonuniform Part A548 Fig. 4. In this case, a second coil can produce more resonance zones. Fig. 5. This experimental device has an annular resonance zone. (a) Fig. 6. Dispersion curves for (a) the O-wave, (b) the L and R waves, and (c) the X-wave. magnetic fields, so that B cannot be 875G everywhere. In a diverging magnetic field, there are resonance zones, usually shaped like a shallow dish, in which the field is at the resonance value. Electrons are heated when they pass through this zone, and the time spent there determines the amount of heating. Note that the microwave field does not have to be circularly polarized. A planepolarized wave can be decomposed into the sum of a right- and a left-hand polarized wave. An electron sees the left-polarized component as a field at 2ωc and is not heated by it; the right-hand component does the heating. A microwave signal at exactly ωc will not travel, or propagate, through the plasma. This is because electromagnetic (e.m.) waves are affected by the charges and currents in the plasma and therefore behave differently than in vacuum or in a solid dielectric. The relation between the frequency of a wave and its wavelength λ is call its dispersion relation. For an e.m. wave such as light, microwave, or laser beam, the dispersion relation is 2 2 2 2 pc k ω ω= − or 22 2 2 2 2 2 0 1 pc c k vφ ωε ε ω ω = = = − , (1) where k ≡ 2π / λ. In Part A1 we have already encountered the dispersion relations for two electrostatic waves: the plasma oscillation (ω = ωp, which does not depend on k) and the ion acoustic wave (ω = kcs, which is linear in k). The dispersion curve for Eq. (1) is shown in Fig. 6(a) [from Chen, p. 128]. We see that if ω < ωp (the shaded region), the wave cannot propagate. Its amplitude falls exponentially away from the exciter and is confined to a skin depth. It is evanescent. We will need this concept later for RF sources. This behavior is specified by Eq. (1), since k2 becomes negative for ω < ωp. Note that for ω > ωp the waves travel faster than light! This is OK, since the group velocity will be less than c, and that’s what counts. The dispersion curve becomes more complicated when there is a dc magnetic field B0. For waves traveling along B0, the dispersion relation is 22 2 2 2 1 1 1 p c c k ω ωω ω ω = − ∓ . (2) Here we have two cases: the upper sign is for right-hand Plasma Sources III 49 (b) (c) Fig. 7. A slotted-waveguide ECR source. circularly polarized (R) waves, and the bottom one for left (L) polarization. These are shown in (b). Of interest is the R-wave, which can resonate with the electrons (the solid line). At ωc, the curve crosses the axis; the phase velocity is zero. As the wave comes in from the lowdensity edge of the plasma, it sees an increasing n, and the point marked by ωR shifts to the right. For convenience consider the diagram to be fixed and the wave to come in from the right, which is equivalent. After the wave passes ωR, it goes into a forbidden zone where k2 is negative. The resonance zone is inaccessible from the outside. The problem is still there if the wave propagates across B0 into the plasma. This is now called an extraordinary wave, or X-wave, whose diagram is shown in (c). Here, also, the wave encounters a forbidden zone before it can reach cyclotron resonance. Fortunately, there are tricks to avoid the problem of inaccessibility: shaping the magnetic field, making “magnetic beaches”, and so on. ECR reactors can operate in the near-field; that is, within the skin depth, so that the field can penetrate far enough. Though ECR sources are not in the majority, they are used for special applications, such as oxide etching. There are many other industrial applications, however. For instance, there are ECR sources made for diamond deposition which leak microwaves through slots in waveguides and create multiple resonance zones with permanent magnet arrays, as shown in Fig. 7. By choosing magnets of different strengths, the resonance zone can be moved up or down. These linear ECR sources can be arrayed to cover a large area; as in Fig. 7 and 8. There are also microwave sources which use surface excitation instead of cyclotron resonance. For instance, the so-called “surfatron” sources shown in Fig. 9 has an annular cavity with a plunger than can be moved to tune the cavity to resonance. The microwaves are then leaked into the cylindrical plasma column to ionize it. The plasma is then directed axially onto a substrate. VII. INDUCTIVELY COUPLED PLASMAS (ICPs) 1. Overview of ICPs Inductively Coupled Plasmas are so called because the RF electric field is induced in the plasma by an external antenna. ICPs have two main advantages: 1) no Part A550 Fig. 8. A large-area ECR source Fig. 9. A “surfatron” ECR source 0z (cm) 17 (a) Fig. 11. A commercial ICP mounted on top of an experimental chamber (Plasma-Therm) internal electrodes are needed as in capacitively coupled systems, and 2) no dc magnetic field is required as in ECR reactors. These benefits make ICPs probably the most common of plasma tools. These devices come in many different configurations, categorized in Fig. 10. Symmetric ICP TCP Helical Resonator DPS Fig. 10. Different types of ICPs. In the simplest form, the antenna consists of one or several turns of water-cooled tubing wrapped around a ceramic cylinder, which forms the sidewall of the plasma chamber. Fig. 2 shows two commercial reactor of this type. The spiral coil acts like an electromagnet, creating an RF magnetic field inside the chamber. This field, in turn generates an RF electric field by Faraday’s Law: ∇ × = − ≡E B Bd dt/ " , (3) B-dot being a term we will use to refer to the RF magnetic field. This field is perpendicular to the antenna current, but the E-field is more or less parallel to the antenna current and opposite to it. Thus, with a slinky-shaped antenna, the E-field in the plasma would be in the azimuthal direction. Rather than apply the RF current at one end of the antenna and take it out at the other, one can design a helical resonator, which is a coil with an electrical length that resonates with the drive frequency. The antenna then is a tank circuit, and applying the RF at one point will make the current oscillate back and forth from one end of the antenna to the other at its natural frequency. Such a resonant ICP is shown in Fig. 13. Plasma Sources III 51 Fig. 12. A similar ICP by Prototech. Fig. 13. Diagram and photo of a helical resonator (Prototech). Fig. 14. Diagram of a TCP and a simulation by M. Kushner (U. Illinois, Urbana). Another configuration called the TCP (Transformer Coupled Plasma) uses a top-mounted antenna in the shape of a flat coil, like the heating element on an electric stove. This design is meant to put RF energy into the center of the plasma, near the axis. Fig. 14 shows a diagram of a Lam Research TCP together with a computer simulation of its plasma. This will be discussed further later. If one combines an azimuthal winding with a spiral winding, the result is a dome-shaped antenna, which is used by Applied Materials in their DPS (Detached Plasma Source) reactors (Figs. 15, 16). These sources are farther removed from the wafers, allowing the plasma to diffuse and become more uniform. In addition to inductive coupling, there can also be capacitive coupling, since a voltage must be applied at least to one end of the antenna to drive the RF current through it. Since this voltage is not uniformly distributed, as it is in a plane-parallel capacitive discharge, it can cause an asymmetry in the plasma density. On the other hand, this voltage can help to break down the plasma, creating enough density for inductive coupling to take hold. Part A552 (a) (b) Fig. 15. A DPS ICP reactor (Applied Materials). Fig. 17. Diagram of a Faraday shield Fig. 16. Parts of a DPS reactor (Applied Materials). Some machines shield out the capacitive coupling by inserting a Faraday shield between the antenna and the chamber. Such a shield is simply a thin sheet of metal which can be grounded. However, slits must be cut in the shield to allow the inductive field to penetrate it. These slits are perpendicular to the direction of the current flow in the antenna; then induced currents in the shield that would otherwise create a B-dot field opposing that of the antenna would be unable to flow without jumping across a gap. Such a shield is particularly important in helical resonators, since the standing wave in the antenna can cause very large voltages to develop. A Faraday shield can be seen in Fig. 13, and a diagram of it is shown in Fig. 17. Another essential feature is the electrostatic chuck, or ESC, which can be seen in Fig. 15. This uses electric charges to hold the wafer flat and will be discussed later. Antennas do not present much resistance to the power supply, but when the plasma is created, the RF energy is absorbed, and this appears as a resistance to the power supply. Currents in the plasma induce a back-emf into the antenna circuit, making the power supply work harder, and this extra work appears as plasma heat. The part of the back-emf that is in phase with the antenna current appears as an added antenna resistance, and the part that is 90° out of phase appears as added or reduced inductance. Normally, the plasma density is low enough that the currents in the plasma are too small to affect the inductance much. Since most power supplies must be matched to a 50-Ω load, a matchbox or tuning circuit is needed to transform the impedance of the antenna to 50Ω, even under changing plasma loads. This will be Plasma Sources III 53 x x x x x J o J B skin wall antenna Fig. 18. Illustrating the currents in the antenna and in the skin layer. discussed quantitatively later. 2. Normal skin depth Just as a plasma can distribute its charges so as to shield out an applied voltage, it can also generate currents to shield out an applied magnetic field. In Eq. (1) we saw that electromagnetic waves cannot propagate through a plasma if ω < ωp. More precisely, let the e.m. wave vary as cos[i(kx − ωt)] = Re{exp[i(kx − ωt)]}. (We normally use exponential notation, in which the “Re” is understood and is omitted.) If k is imaginary, as it is in ICPs, in which ω << ωp, the wave will vary as Im( ) cosk x e tω− as it propagates in the x direction. The evanescent wave does not oscillate but decays exponentially upon entering the plasma. In the case of most ICPs, f = 13.56 MHz while ωp is measured in GHz, so that ω << ωp, and Eq. (1) can be approximated by k2 = −ωp 2 /c2 , and Im(k) = ωp/c. The wave then varies as exp(−x/δs − iωt], where the characteristic decay length, called the exponentiation distance or e-folding length, is 1/Im(k). This decay length is defined as the skin depth δs: δ δ ωs c pc= ≡ / . (4) The quantity δc is called the collisionless skin depth and is the same as δs here because we have so far neglected collisions. Note that δc depends on n–½ ; the current layer doing the shielding can be thinner if the plasma is dense. The diagram shows that as the current J in the antenna increases, a B-field is induced in the plasma, and this in turn drives a shielding current in the opposite direction. This current decays away from the wall with an e-folding distance ds. Using Eq. (A1-9) for fp, we find that δc for a 1012 cm-3 plasma is of order 0.5 cm. We would expect that very little RF power will get past the skin layer and reach the center of the plasma, perhaps 10 cm away. This is the reason stove-top antennas were invented. However, it is found, amazingly, that even antennas of the first type in Fig. 10 can produce uniform densities across the whole diameter. This is one of the mysteries of RF sources and has given this field the reputation of being a “black art”. A possible explanation will be given later. ICPs, however, are not collisionless, and one might think that the skin depth would be increased by collisions. To account for collisions of frequency νc, we need merely replace Eq. (1) with Part A554 0 1 10 100 0 1 10 100 1000 n (1011 cm-3 ) ds(cm) 100 30 10 5 3 1 p (mTorr)Argon, 2 MHz, Te = 3 eV (a) 0 5 10 15 20 1 10 100 p (mTorr) ds(cm) 6 5 4 3 2 1 Te (eV) n = 1011 cm-3 , f = 2 MHz (b) Fig. 19. (a) Classical skin depth vs. density at various neutral pressures. (b) Effect of argon pressure on skin depth at various electron tempera- tures. c k i p c 2 2 2 2 1 ω ω ω ω ν = − +( ) . (5) The complex denominator makes it hard to see how the skin depth is changed, but we can look for an approximation. Since ω ≈ 9 × 107 sec-1 , νen = 3 × 1013 <σv>en p(mTorr), σ ≈ 5 × 10−16 cm2 , and v ≈ 108 cm/sec (for KTe ≈ 4 eV), we find that νc < ω for p < 60 mTorr. If νc << ω, we can expand the denominator in a Taylor series, obtaining 1 2 2 2 2 2 1 1c c p p i i c k ν ν ω ω ω ω ω −     ≈ − + ≈ − −        . Here we have taken ωp 2 >> ω2 , which is well satisfied. Taking the square root by Taylor expansion, we now have 2 1 2 1 , | Im( ) | 1 O 2 p c c s c i k i k c ω ν ν δ δ ω ω −      ≈ ± − = ≈ +           . We see that the real part of k has acquired a small value as a result of collisions, but the imaginary part is unchanged to first order in νc/ω unless our assumption of νc << ω breaks down. At the mTorr pressures that ICPs operate at, the skin depth is quite well approximated by δc. With a computer, it is easy to solve Eq. (5) using the collision data given in Part A2, and some results are shown in Fig. 19. These were computed for f = 2 MHz, used by some ICPs, rather than the more usual 13.56 MHz, in order to increase ν /ω and bring out the effect of collisions more clearly. We see in (a) that ds decreases with √n as expected, but it hits a lower limit imposed by collisions. In (b), we see that the increase of ds with pressure depends on Te, which affects νc = nn <σv>. 3. Anomalous skin depth In plasma physics, classical treatments like the above are often doomed to failure, since plasmas are tricky and more often than not are found experimentally to disobey the simple laws of electromagnetics. They can do this by deviating from strict Maxwellian distributions and generating internal currents and charges that are not included in simple formulations. However, in this case, observations show that Eq. (5) is correct . . . up to a point. Fig. 20 shows data taken in the ICP of Fig. 11 with a magnetic probe measuring the RF magnetic Plasma Sources III 55 0.01 0.1 1 10 100 -15 -10 -5 0 5 10 15 R (cm) |Bz|(mV) 5 10 20 Calc p (mTorr) (a) 0 1 2 3 0 5 10 15r (cm) n,KTe,|Bz| 8 12 16 20 Vs(Volts) n KTe Bz Vs (b) Fig. 20. (a) Decay of the RF field excited by a loop antenna. (b) Profiles of n (1011 cm-3 ), KTe (eV), plasma potential Vs (V), and Bz (arbitrary units) in an ICP discharge in 10 mTorr of argon, with Prf = 300W at 2 MHz. Fig. 21. Monte Carlo calculation of a electron trajectory in an RF field with (blue) and without (black) the Lorentz force. The dotted line marks the collisional skin depth. field Bz. In the outer 10 cm of the cylinder, the semilog plot shows |Bz| decreasing exponentially away from the wall with a scalelength corresponding to ds. (The exact solution here is a Bessel function because of the cylindrical geometry.) However, as the wave reaches the axis, it goes through a null and a phase reversal, as if it were a standing wave. This behavior is entirely unexpected of an evanescent wave, and such observations gave rise to many theoretical papers on what is called anomalous skin depth. Fast, ionizing electrons are created in the skin layer, where the E-field is strong. These velocities, however, are along the wall and do not shoot the electrons toward the interior. Most theorists speculate that thermal motions take these primary electrons inward and create the small reversed B-field there. This effect, however, should decrease with pressure, and Fig. 20a shows that the opposite is true. The explanation is still in dispute. In Fig. 20b, the exponential decay of Bz is seen on a linear scale. Also shown is the plasma density, which peaks near the axis. Since the RF energy, proportional to Bz 2 , is concentrated near the wall, as the Te profile confirms, it is puzzling why the density should peak at the center and not at the wall. We have explained this effect recently by tracing the path of an individual electron as it travels in and out of the skin layer over many periods of the RF. An example is shown in Fig. 21. The result depends on whether or not the Lorentz force LF e= − ×v Bis included. This is a nonlinear term, since both v and B are small wave quantities. This force is in the radial direction and causes the electron to hit the wall at steeper angles. The electrons are assumed to reflect from the sheath at the wall. The steeper angles of incidence allow the fast electrons to go radially inwards rather than 0 180 360 540 720 900 1080 1260 RF phase (degrees) Part A556 Fig. 22. The Ec curve, a very useful one in discussing energy balance and discharge equilibrium (L & L, p. 81). Fig. 23. Drawing of a TCP from the U.S. Patent Office. skimming the surface in the skin layer. Only when FL is included do the electrons reach the interior with enough energy to ionize. This effect, which requires nonMaxwellian electrons as well as nonlinear forces, can explain why the density peaks at the center even when the skin layer is thin. Because of such non-classical effects, ICPs can be made to produce uniform plasmas even if they do not have antenna elements near the axis. 4. Ionization energy. How much RF power does it take to maintain a given plasma density? There are three factors to consider. First, not all the power delivered from the RF amplifier is deposited into the plasma. Some is lost in the matchbox, transmission line, and the antenna itself, heating up these elements. A little may be radiated away as radio waves. The part deposited in the plasma is given by the integral of J ⋅E over the plasma volume. If the plasma presents a large load resistance and the matching circuit does its job, keeping the reflected power low, more than 90% of the RF power can reach the plasma. Second, there is the loss rate of ion-electron pairs, which we have learned to calculate from diffusion theory. Each time an ion-electron pair recombines on the wall, their kinetic energies are lost. Third, energy is needed to make another pair, in steady state. The threshold energy for ionization is typically 15 eV (15.8 eV for argon). However, it takes much more than 15 eV, on the average, to make one ionization because of inelastic collisions. Most of the time, the fast electrons in the tail of the Maxwellian make excitation collisions with the atoms, exciting them to an upper state so that they emit radiation in spectral lines. Only once in a while will a collision result in an ionization. By summing up all the possible transitions and their probabilities, one finds that it takes more like 50−200 eV to make an ion-electron pair, the excess over 15 eV being lost in radiation. The graph of Fig. 22 by V. Vahedi shows the number of eV spent for each ionization as a function of KTe. 5. Transformer Coupled Plasmas (TCPs) As shown in Fig. 23, a TCP is an ICP with an antenna is shaped into a flat spiral like the heater on an electric stove top. It sits on top of a large quartz plate, which is vacuum sealed to the plasma chamber below containing the chuck and wafer. The processing chamber can also have dipole surface magnetic fields, and the antenna may also have a Faraday shield consisting of a Plasma Sources III 57 (a) (b) (c) (d) plate with radial slots. According to our previous discussion, the induced electric field in the plasma is in the azimuthal direction, following the antenna current. Electrons are therefore driven in the azimuthal direction to produce the ionization. As in other ICPs, the skin depth is of the order of a few centimeters, so the plasma is generated in a layer just below the quartz plate. Fig. 24a shows the compression of the RF field by the plasma’s shielding currents. The plasma then diffuses downwards toward the wafer. In the vicinity of the antenna, its structure is reflected in irregularities of plasma density, but these are smoothed out as the plasma diffuses. There is a tradeoff between large antenna-wafer spacing, which gives better uniformity, and small spacing, which gives higher density. Being one of the first commercially successful ICPs, TCPs have been studied extensively; results of modeling were shown in Fig. 14. Densities of order 1012 cm-3 can be obtained (Fig. 24c). Magnetic buckets have been found to improve the plasma uniformity. There is dispute about the need for a Faraday shield: though a shield in principle reduces asymmetry due to capacitive coupling, it makes it harder to ignite the discharge. If the antenna is too long or the frequency too high, standing waves may be set up in the antenna, causing an uneven distribution of RF power. The ionization region can be extended further from the antenna by launching a wave—either an ion acoustic wave or an m = 0 helicon wave, but such TCPs have not been commercialized. The spiral coil allows the TCP design to be expanded to cover large substrates; in fact, very large TCPs, perhaps using several coils, have been produced for etching flat-panel displays. TCPs and ICPs have several advantages over RIE reactors. There is no large RF potential in the plasma, so the wafer bias is not constrained to be high. This bias can be set to an arbitrary value with a separate oscillator, so the ion energy is well controlled. The ion energies also are not subject to violent changes during the RF cycle. These devices have higher ionization efficiency, so high ion fluxes can be obtained at low pressures. It is easier to cover a large wafer uniformly. In remotesource or detached-source operation, it is desired to have as little plasma in contact with the wafer as possible; the plasma is used only to produce the necessary chemical radicals. This is not possible with RIE devices. Compared with ECR machines, ICPs are much simpler and cheaper, because they require no magnetic field or microwave power systems. Part A558 Fig. 24. (a) RF field pattern without and with plasma; (b) radial profiles of Br at various distances below the antenna, showing the symmetry; (c) density vs. RF power at various pressures; (d) plasma uniformity with and without a magnetic bucket. [from L & L, p. 400 ff.] Fig. 25. The “standard” (left) and “alternate” (right) matching networks. 6. Matching circuits The matching circuit is an important part of an ICP. It consists of two tunable vacuum capacitors, which tend to be large and expensive, mounted in a box with input and output connectors. At RF frequencies, wires are not simply wires, since every length of wire has an appreciable inductance; hence, the way the connections and ground plane are arranged inside the matchbox requires RF expertise. In addition, industrial plasma reactors have automatch circuits, which sense the way the capacitors have to be tuned to match the load and have little motors that automatically turn the tuning knobs. Design of matching circuits can be done with commercial network analyzers which plot out the Smith chart that is familiar to electrical engineers. However, we have derived analytic formulas which students can use without the expensive equipment (Chen, UCLA Report PPG-1401, 1992). The capacitors, called the loading capacitor CL (C1 in the diagram) and the tuning capacitor CT (C2), can be arranged in either the standard or an alternate configuration. Let R0 be the characteristic impedance of the power amplifier and the transmission lines (usually 50Ω), and let R and X be respectively the resistance and reactance of the load, both normalized to R0. For instance for an inductive load with inductance L, X is ωL/R0. These will change when the plasma is created. For the standard circuit, the capacitances are ′ = − − ′ = − − ′ − C R R C X R C L T L [ ( ) ]/ [ ( ) / ] 1 1 2 2 1 2 1 , (6) where CL′ ≡ ωCLR0, etc. For the alternate circuit we have ′ = ′ = −C R B C X B TL T/ , ( ) / 2 , (7) where T R X B R T R2 2 2 2 2 ≡ + ≡ −, ( ) . (7a) Note that these two circuits are actually identical: to switch from “standard” to “alternate”, you merely have to swap the input and output terminals. The alternate circuits usually requires smaller capacitors with higher voltage ratings. These formulas do not include the cable that connects the matchbox to the antenna. Any cable length longer than a foot or so can make a big difference in the tuning; for instance, it can change in inductive load Plasma Sources III 59 (a) (b) Fig. 26. (a) A monopolar ESC; (b) a bipolar ESC. What is seen is actually the coolant paths (from Applied Mate- rials). to a resistive load. This is because a ¼ wavelength of a 13.56 MHz wave in the cable is only about 5 m, and the reflected signal arriving back at the tuning circuit would be changed in phase significantly by a cable length of a fraction of a meter. How to handle transmission lines is covered in PPG-1401. Note in Eq. (6) that a capacitive load would have negative X, and then CT would become negative; that is, it would require an inductor rather than a capacitor. Indeed, RIE discharges cannot be matched by purely capacitive tuning circuits; an inductor has to be added inside the matchbox. This inductor can be just a wire loop a couple of inches in diameter, but the coil must not be distorted or moved by the user, because that would change its inductance. 7. Electrostatic chucks (ESCs) In plasma processing, photons and ions impinge on the wafer and heat it up. It is important to have an efficient way to remove the heat. A flow of He gas, a good heat transfer agent, is used on the back side of the wafer to cool the wafer. Originally, the wafer was held onto the chuck with mechanical fingers at the edges. This not only made the available area smaller but also allowed the wafer to bulge upwards under the He pressure, thus compromising its planarity. Electrostatic chucks have been developed to overcome these problems. In an ESC, a DC voltage is put on the chuck, charging it up. An opposite charge is attracted to the back side of the wafer, and these opposite charges attract each other, holding the wafer flat against the chuck at all points. To introduce the He, grooves are milled into the chuck. The back side of the wafers are rough enough that gas entering these grooves can seep beneath the wafer and remove heat from the whole surface. There are two types of ESC. Monopolar chucks have the same voltage applied to the entire chuck. The return path is through the plasma; that is, if a positive charge, say, is put on the chuck, the originally neutral wafer would have negative charges attracted to its back side and positive charges repelled to its front side. There, the charges are neutralized by electrons from the plasma. Thus, a monopolar chuck can function only if the plasma is on. There are problems with timing, because one has to make sure the wafer is not released too soon after plasma turnoff, and the wafer has to be firmly held as the plasma is turned on. The advantage of monopolar chucks is that it is easy to release the wafer. Bipolar chucks are divided into regions which get charged to opposite potentials. The return path in the wafer is Part A560 Fig. 27. Design curves for electrostatic chucks (from PMT, Inc.) then through the wafer’s cross section from one region to the next. The plasma is not necessary for the chuck to hold. The problem is that it is hard to get rid of the charging after the process is over to release the wafer; materials of just the right dielectric constant and conductivity have to be used in bipolar chucks. An ESC consists of a flat plate (with grooves) covered with a layer of dielectric. On top of that is an air gap, which is just the roughness of the wafer, and then the wafer itself. The holding pressure of the chuck depends on the thickness tgap of the air gap, and also on the ratio ε / t, where ε is the dielectric constant of the dielectric layer of thickness t. For given values of these parameters, the holding pressure (in Torr) will increase with chuck voltage. Dielectric constants vary from 3-4 for polyimide insulators to 9-11 for alumina or sapphire. Chuck voltages can vary from 100 to 5000V. Since the plasma potential oscillates at the RF and bias frequencies, the required chuck voltage can also vary with RF power. Figure 27 shows the variation of clamping force with gap thickness and voltage with ε / t. Plasma Sources IV 61 . m = 1 m = 0 Fig. 1. Instantaneous E-field patterns for m = 1 and m = 0 helicon waves. PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. F.F. Chen PART A6: PLASMA SOURCES IV VIII. HELICON WAVE SOURCES and HDPs The newest type of High Density Plasma (HDP) is produced by the helicon wave source (HWS). This source requires a magnetic field of 50−1000G and is excited by an RF antenna, as in an ICP. The magnetic field has three functions: a) it increases the skin depth, so that the inductive field penetrates into the entire plasma; b) it helps to confine the electrons for a longer time; and c) it gives the operator extra adjustments to vary the plasma parameters, such as the density uniformity. The antenna launches a wave, called a helicon wave, that propagates along B with a phase velocity comparable to that of a 50- 200 eV electron. The wave causes very efficient ionization, so that experiments are often done with densities in the mid-1013 cm-3 range, though such high densities are not usable for semiconductor processing. Nonetheless, HWS densities tend to be an order of magnitude higher than the 1011 cm-3 densities typical of ICPs. Until recently, it was not known why HW sources are so efficient. Initially, it was thought that cool electrons could catch the wave and surf on it up to the wave velocity, thus speeding up to where their ionization cross section was at its peak. Recent theories explain the efficient absorption of RF power by mode-coupling to another wave, a Trivelpiece-Gould (TG) wave, which will be described later. 1. Dispersion relation In Part A5, Eq. (A5-2) gave the dispersion relation for RH polarized e.m. waves propagating in the z direction (along B0). If, instead, the wave vector k were at an angle θ to B0, the dispersion relation would be 22 2 2 2 1 1 1 cos p c c k ω ωω ω θ ω = − − (1) This is called a whistler wave in space physics and was first heard by radio operators listening to ionospheric noise through headphones. At helicon densities and magnetic fields, the “1”s are both negligible, and the equation becomes Part A662 Nagoya Type III -- + E Half helical Double saddle coil Fig. 2. Common types of helicon an- tennas. 22 2 2 2 cos p c c k ω ω ω θω ω = . (2) Since k2 = kz 2 + k⊥ 2 , the factor cosθ is just kz / k. Eq. (2) then becomes 2 0 2 p z zc en k k k Bc ω µω ω ω = = . (3) This shows how the basic helicon dispersion relation is related to the ones we have already encountered. To be consistent with helicon terminology, however, we now make a few changes in notation. The k above is the total propagation constant, and it will be called β from now on. The z component kz will now be called k. Eq. (3) then becomes 2 2 20 , ne k k k B µω β β ⊥= ≡ + . (4) Since helicon waves are whistlers confined to a cylinder, the plane-geometry concept of k⊥ is no longer useful; k⊥ will later be replaced by arguments of Bessel functions. In cylindrical geometry, k⊥ is approximately 3.83/a, where a is the plasma radius, the 3.83 coming from a Bessel function root. In basic experiments, the radius of the helicon plasma is much smaller than its length, and one has k⊥ >> k and β ≈ k⊥. We see from Eq. (4) that if one fixes ω, the radius a, and the wavelength 2π/k (by adjusting the length of the antenna), then n/B must be fixed. In the simplest helicon wave, the density should increase linearly with magnetic field. 2. Wave patterns and antennas If we make the simplifying assumption that the plasma is uniform in the z and θ directions, we can Fourier analyze and study each z and θ mode by assuming that each wave quantity, such as B (as distinguished from the dc field 0ˆB z ), varies as ( ) ( ) i m kz t r e θ ω+ − =B B . (5) Thus, the wave propagates in the z direction with a wavelength 2π/k, and its amplitude varies in the θ direction as cos(mθ) . Here m is the azimuthal wave number. Thus, m = 0 is a mode that is azimuthally symmetric, Plasma Sources IV 63 Fig. 3a. Density jumps with increasing field (from Boswell et al.). Fig. 3b. Density jumps with increasing power (from Shoji et al.). Fig. 4. Increase of density with B- field. while m = 1 is a mode that varies as cosθ and is RH polarized (θ increases as t increases). Similarly, m = −1 is LH polarized. Though LH waves in free space are evanescent, both RH and LH waves can propagate in a cylinder. Actually LH waves are not easily excited, for obscure reasons. The electric field patterns for m = 1 and m = 0 helicons are shown in Fig. 1. The m = 1 mode has a pattern that does not change as it rotates. As the wave propagates in the z direction (the direction of B), a stationary observer would see the pattern rotating clockwise as viewed along B. The m = 0 mode is entirely different: the pattern is not invariant but changes from electrostatic (radial E-lines) to electromagnetic (azimuthal E-lines) in each half-cycle. Antennas can be shaped to launch particular modes. Some are shown in Fig. 2. For instance, a simple hoop or two separated hoops with current in opposite directions can launch m = 0 modes. A Nagoya Type III (N3) antenna has two parallel legs connecting two such hoops; these legs are actually more important than the end rings. The N3 launches plane-polarized |m| = 1 modes consisting of equal amplitudes of m = +1 and m = −1. In practice, however, the m = −1 mode can hardly be detected, and the m = +1 mode is the one that is launched in both directions. A half-helical (HH) antenna is an N3 antenna with one end twisted 180° to match the helicity of the m = 1 wave pattern. Like the N3, it is half a wavelength long, chosen to give the right value of kz in Eq. (3). Depending on the direction of B0, the HH launches an m = +1 wave out one end and a (small) m = −1 wave out the other. The double saddle coil is an N3 antenna with each parallel leg spit into two paths, slightly separated. The advantage of this is that it can be slipped onto a tube without breaking vacuum. With helical antennas, one does not really have to build antennas with different directions of twist. To change between m = +1 and m = −1 excitation, one merely needs to reverse the magnetic field. This can be seen from Eq. (5), since the z direction is defined to be that of B0. 3. Mode jumping At low B0 or low power Prf, the conditions are not right for generating helicon waves, and one gets only a low density plasma characteristic of ICPs. As the field is raised above 200-400G (depending on other parameters such as pressure), the helicon dispersion can be satisfied, and the density discontinuously jumps to a high value Part A664 Fig. 5. Axial view of a helicon discharge in 488 nm Ar+ light before and after jump into the big blue mode (which is color-coded red here!). 0.0 0.2 0.4 0.6 0.8 1.0 0.0 0.2 0.4 0.6 0.8 1.0 r / a |Bz| 0 100 1000 2000 B(G) kz = 0 Fig. 6a. Decay of a kz = 0 antenna field vs. B0. 0.0 0.2 0.4 0.6 0.8 1.0 0.0 0.2 0.4 0.6 0.8 1.0 r / a |Bz| 0.14 0.12 0.10 0.05 0 Kz (cm-1 ) Fig. 6b. Decay of antenna field at B0 = 100G for various kz. satisfying Eq. (4) (Fig. 3a). From then on, n increases linearly with B. Similar jumps are seen as Prf is increased (Fig. 3b). At low power, there is only capacitive coupling, and the density is very low. As the power is raised to 100-300 W, inductive coupling takes hold, and the density jumps to a value characteristic of ICPs. At 400 W or so, the helicon mode is struck, and the density takes another jump to a value satisfying (4). In Fig. 4, we see that, as B0 is raised from 0, the density on axis can increase by 20 times over its B0 = 0, or ICP, value. However, the averaged density is not changed quite as much. What happens is that the plasma snaps into a “big blue” mode with a bright, dense central core. This core has a higher Te and higher ionization fraction than the surrounding plasma. For processing purposes, however, the >1013 cm-3 densities of the blue mode at 1000G and 2kW are not useful. Lower B0 and Prf are used to create a weaker, pinkish (in argon) plasma which will dissociate a molecule like Cl2 but not totally ionize it. 4. Modified skin depth The skin depth in ICPs is determined by shielding current of electrons. With as little as 10G of magnetic field, the electrons would have small Larmor radii and be unable to flow in the direction required for shielding. One would think that the rf field would then penetrate easily into the plasma. However, because of a mechanism too complicated to explain here, this does not happen. If kz = 0, the skin depth does not change appreciably until B0 reaches 1000s of gauss. If the antenna field is not constant over all z  that is, if kz ≠ 0  the skin depth can again be increased by the B-field. The rf field, however, still does not penetrate all the way to the axis until kz is large enough to satisfy Eq. (3) so that helicon waves can be excited. Thus, helicon waves are necessary for getting rf energy to the center of the plasma. The anomalous skin depth mechanism of ICPs will not work in a magnetic field. 5. Trivelpiece-Gould modes With the same amount of power deposited into the plasma, helicon discharges produce more ions than do ICP discharges; it is not just the peak density that is higher. This was hard to understand, since the usual transfer of rf energy to the plasma is through collisional damping of the wave fields, and it can be shown that this damping is quite weak for helicon waves. Magnetic confinement helps a little (not nearly enough); on the other hand the field prevents the drift of fast electrons into the Plasma Sources IV 65 0 1 10 100 0 1 10 100 1000 β (cm−1 ) k(cm -1 ) 19 50 100 200 500 1200 Kmax B (G) kmax=β ω = ω c / 2 T-G branch Helicon branch kmin Fig. 7. The k −β curves for combined helicon−TG modes. 0 100 200 300 400 500 0.00 0.01 0.02 0.03 0.04 0.05 r (m) P(r) (a) 0 1000 2000 3000 0.00 0.01 0.02 0.03 0.04 0.05 r (m) P(r) (b) Fig. 8. Radial profiles of energy deposition at (a) 50G and (b) 300G showing the relative contributions of the H and TG modes. center, as in ICPs. At first, it was thought that Landau damping was responsible for the efficient energy transfer. This is a mechanism in which electrons surf on the wave and gain energy up to the phase velocity. For usual values of n and B in Eq. (4), the phase velocity ω / k would put the surfing electrons at an energy near the maximum of the ionization cross section (~100 eV), thus increasing the ionization rate. This was such an attractive explanation that numerous experiments were performed to prove it. Careful measurements of the EEDF, however, showed no such fast-electron tail. This collisionless damping mechanism could still occur near the antenna, but the current belief is that it is insufficient to account for the high density of helicon discharges. An alternative explanation was found when the mass ratio m / M, though small, was assumed to be finite instead of zero, as it was in deriving Eq. (3). Two waves are then found which satisfy the differential equations 2 2 1 20, 0β β∇ + = ∇ + =B B B B , (6) where β1 and β2 are the total wave numbers of the two waves. The β’s satisfy a quadratic equation, whose roots are 1/ 2 0 1,2 4 1 1 , 2 c k k β δ ω β δ δ ω     = − ≡      ∓ . (7) Here β0 is the approximate value of β given by Eq. (4), and δ is not the skin depth δ !. The upper sign gives β1 ≈ β0, the modified helicon wave, which approaches β0 as δ → 0. The lower sign gives β2 ≈ k/δ, the TrivelpieceGould (TG) mode, which is essentially an electron cyclotron wave confined to a cylinder. For given k, both waves exist at the same time, and their β values are shown in Fig. 7 for various values of B0. In Fig. 7, for a given value of k, there are two possible β’s: β1 and β2. The smaller one has longer radial Part A666 Fig. 9. The glow of a helicon discharge [U. of Wisconsin]. Fig. 10. Transition between capacitive coupling (E-mode), ordinary inductive coupling (H-mode), and helicon coupling (W-mode) [Degeling et al., Phys. Plasmas 3, 2788 (1996)]. . Fig. 12. A Nagoya III antenna launches m = +1 waves in both directions, as long as B0 is above the threshold for the W-mode. wavelength and is the helicon (H) wave; the larger β has shorter radial wavelength and is the TG wave. Its wavelength can be so short that it damps out before going far into the plasma, and it is then essentially a surface wave. Nonetheless, because it is damped rapidly, it accounts for the efficient RF power absorption of the HWS. The mechanism is as follows. The antenna excites the H wave as usual, but the H wave is damped so weakly that it cannot account for all the RF power absorbed. For δ > 0, however, the H wave alone cannot satisfy the boundary condition at r = a; a TG wave must be generated there as well, with an amplitude determined by the boundary condition. The TG wave is heavily damped and deposits RF energy near the surface. At low Bfields, the curve becomes shallower, and β1 and β2 are close to each other, so that the H and TG waves are strongly coupled, and both extend far into the plasma. For ωc < 2ω, the H wave becomes evanescent, and only the TG wave can propagate. At high B0, note that there is a minimum value of k; that is, the axial wavelength cannot be overly long. 6. Examples of helicon measurements Fig. 11. A uniform-field system used for most of the studies of helicon discharges shown here [UCLA]. Fig. 13. A helical antenna launches the m = +1 mode in one direction only. Plasma Sources IV 67 Fig. 15. Measured radial density profiles of Bj, compared with the Bessel solutions for m = +1 and m = −1 (faint line). Regardless of the direction of B0, it was not possible to get profiles agreeing with m = −1. 0.0 0.6 1.2 1.8 0 20 40 60 80 100 120 140 B (G) n(1011 cm-3 ) 200 135 115 85 70 45 Prf (W) Fig. 16. The low-field density peak for various Prf. 0 2 4 6 8 10 12 14 -6 -4 -2 0 2 4 6 r (cm) Jz(arb.units) 4.2 4.2 no TG n (1011 ) 40G(b) Fig. 17. Radial profile of the RF current (points) at 40G, as compared with simple helicon theory (bottom curve) and the theory including the TG mode. Fig. 14. With a helical antenna, both n and KTe peak downstream of the antenna (at the left, between the bars). The data shown above illustrate the behavior of helicon discharges in “normal’ operation, at fields high enough that Eq. (3) is obeyed, and n increases linearly with B0. Peak densities of up to 1014 cm-3 have been observed. Though the TG mode is not seen because it is localized to a thin boundary layer at these fields, it is nonetheless responsible for most of the energy absorption. Helicons can also be generated at fields of ~100G and below, exhibiting behavior different from ICPs. A low-field density peak is often seen, with the density decaying before it goes up linearly with B0 in its high-field behavior (Fig. 16). The densities in this peak are not high but are still higher than in ICPs. It is believed that this peak is due to constructive interference from the wave reflected from a nearby endplate. At low B-fields, the TG mode has a comparatively long radial wavelength and can be seen in the RF current Jz, which emphasizes the TG mode. The TG mode generates peaks in Jz near the boundary, as seen in Fig. 17. These additional peaks are not predicted by the theory neglecting the TG modes. 7. Commercial helicon sources Two commercial helicon reactors have been marketed so far: the Boswell source, and the MØRI (M = 0 Reactive Ion etcher) source of PMT, Inc. (now Trikon). Variations of the Boswell source have been sold by various companies on three continents, including Lucas Labs in the U.S. The Lucas source uses a paddle (or saddle)shaped m = 1 antenna. The MØRI source uses a twoloop m = 0 antenna with the currents in opposite directions. It incorporates a magnetic bucket in the processing chamber to confine the primary electrons. The field coils have a special shape: two coils in the same plane with currents in opposite directions. These opposed coils Part A668 Fig. 18. The Lucas source. Fig. 20. The MØRI source. Magnitude of B at z = 30 cm 0 1 2 3 -25 -15 -5 5 15 25 R (cm) |B|(G) -2.5 -1.5 -2.0 CCR Fig. 22. Computed B-field strength at wafer level for three values of the coil current ratio. make the magnetic field diverge rapidly, so that very little field exists at the wafer. The density profile can be flattened by controlling the ratio of the currents in the two coils. The magnetic fields used in these reactors is in the 100-400G range. Fig. 19. Drawing of the PMT MØRI source. -40 -30 -20 -10 0 10 20 30 40 -20 -15 -10 -5 0 5 10 15 20 25 30 35 40 z (cm) R(cm) CCR = -2.0 CCR = -3.0 Fig. 21. The B-field patterns in the MØRI source (on its side) for various coil current ratios (CCR). A negative ratio bends the field lines away from the substrate and can be adjusted to give < 1G at the wafer level. To cover large substrates, multiple helicon sources are being developed at UCLA. These comprise an array of appropriately spaced tubes, each being short to make use of the low-field peak mentioned above. Uniform densities above 1012 cm-3 with ±3% uniformity over a 40-cm diameter have been achieved. In this example, six tubes are spaced around a central tube. Density scans over the cross sectional area showed no six-fold asymmetry due to the individual sources. Plasma Sources IV 69 0 2 4 6 8 10 12 0 5 10 15 20 25 30 R (cm) n(1011 cm-3 ) 3 2 1 P(kW) ARGON Center Fig. 24. Density scans at various power levels. -20 0 20 -20 0 20 2 5 8 11 14 17 Fig. 25. Density variations at various radii. STUBBY MULTI-TUBE SOURCE ROTATING PROBE ARRAY PERMANENT MAGNETS Fig. 23. A multi-tube m = 0 helicon source Performance of the distributed source of Fig. 23 is shown in Figs. 24 and 25, and in Sec. A3, under Uniformity. IX. DISCHARGE EQUILIBRIUM (L&L, p. 304ff) In high-density plasmas (HDPs), the plasma density, neutral density, bulk electron temperature, and EEDF determine the performance of the reactor in producing the right mixture of chemical species and the energy and flux of ions onto the substrate. For some processes, such as cleaning and stripping, simply a high density of oxygen would do; but for the more delicate etching processes, the proper equilibrium conditions are crucial. Reactor-scale numerical modeling can treat in detail the exact geometry of the chamber and the gas feeds, and the radial profiles of the various neutral and ionized species. In more detailed computations, even the sheath and non-Maxwellian electron effects are included. The problem with modeling is that only one condition can be computed at a time, and it is hard to see the scaling laws behind the behavior of the discharge. To get an idea of the relation between energy deposition and the resulting densities and temperatures, we need to examine particle and energy balance in a plasma. 1. Particle balance Consider the rate at which plasma is lost to the walls in an arbitrary chamber of volume V and surface area A. Since electrons are confined by sheaths, the loss rate is governed by the flux of ions through the sheath edge at the acoustic speed cs, according to the Bohm criterion (A1-19). If N is the total number of ions, it will decrease at the rate Part A670 1 10 100 0.01 0.1 1 10 100 p0 (mTorr) KTe(eV) Fig. 26. A Te vs. p0 curve. 1½ ½s s s s out dN An c Ag nc Anc dt = = ≈ . (8) Here g1 is a geometric factor of order unity relating the sheath-edge density to the average density. It differs from ½ in realistic plasmas which are not entirely uniform in the quasineutral region. In steady state, N is replenished by ionization. The ionization rate is nnn<σv>ion, so N is increased at the rate 2 vn ion in dN Vg n n dt σ= < > , (9) where g2 is another geometric factor of order unity to account for density inhomogeneity. Equating input to output, n cancels; and we have 1 2 v1 ( ) 2 ion n n e s g A n n f T g V c σ < > = ≡    , (10) where f(Te) is the function of Te in the square brackets. The left-hand side depends only on the geometry of the plasma. For instance, if the chamber is a cylinder of radius R and length L, the area is 2πR2 + 2πRL, while the volume is πR2 L. The l.h.s. is then approximately (R + L)/ RL, or simply 1/R for L >> R. Thus, for a given geometry and ion mass, Te is related to the neutral density nn and is independent of plasma density n. This unique relationship is shown in Eq. 26 for R = 16 cm. Thus, particle balancethat is, equating the rate of plasma production with the rate of lossdoes not give a value of the density n. We have only a relation between KTe and nn. If nn is depleted by strong ionization, the abscissa in this graph (the filling pressure p0) should be replaced by the local pressure p(mTorr) = nn / 3 × 1013 cm-3 . This depletion is likely to vary with position; for instance, near the axis, and then one would expect a local rise in KTe there. Solving the diffusion equations is necessary only to give the geometrical factors in Eq.(10), which could be used to refine the Te − p relation. 2. Energy balance The equilibrium density of the plasma can be estimated from the absorbed RF energy. This is given by Ohm’s law, essentially I2 R, or actually E ⋅ J integrated over the volume of the plasma and averaged over time. It is almost equal to E ⋅ J integrated over the antenna, which can be measured by multiplying the voltage and Plasma Sources IV 71 current applied to the antenna times the cosine of the angle between them. The only difference is the power dissipated by the antenna’s resistance and the power radiated away into space (both of these effects are negligible). This energy in must be balanced with the energy out. The plasma loses energy by particle loss and by radiation of spectral lines. The total energy lost when each ion-electron pair recombines at the wall can be divided into three terms: tot i e cW W W W= + + . (11) Wi is the energy carried out by the ion after falling through the sheath drop Vsh. Since it reaches the sheath edge with a velocity cs, its energy there is ½KTe. Thus we have ½i e shW KT eV= + . (12) We is the energy carried out by the electron and on average is equal to 2KTe. The reason this is not simply KTe or (3/2)KTe is that the electron is moving at a velocity vx toward the wall, but it also carries energy due to its vy and vz motions. We can derive this as follows. The flux of electrons to the wall is 2 / 0 x emv KT e x xn v e dv ∞ − Γ = ∫ . (13) Each carries an energy 2 2 2 ( ) ½ ½ ½x x x eE v mv mv mv KT⊥= + < >= + . (14) The reason the average energy in the y and z directions is KTe is that there is ½KTe of energy in each of the two degrees of freedom. The flux of energy out, therefore is the same as Eq. (13) but with an extra factor of E(vx). The mean energy carried out by each electron is then 2 0 2 0 ( )exp( / 2 ) exp( / 2 ) x x e x x e x e x x E v mv KT v dv W mv KT v dv ∞ ∞ − = − ∫ ∫ . (15) Since the KTe term of Eq. (14) does not depend on vx, it factors out, and we have Part A672 10 100 1000 1 10KTe (eV) Ec(eV) Fig. 27. The Vahedi curve shown in detail (data from P. Vitello, LLNL). In the last step we have introduced the abbreviation 2 / 2 , ( / )x e e x xw mv KT dw m KT v dv≡ = . Integrating by parts, we have UdV UV VdU= − ∫ ∫ , where w w U w dU dw dV e dw V e− − = = = = − The integral in the numerator becomes 00 0 w w w e wdw we e dw ∞ ∞∞− − − =− + ∫ ∫ . The first term vanishes, and the second term is 1; in any case, it cancels the denominator. Thus, Eq. (16) gives 2e eW KT= . (17) The last term, Wc, in Eq. (11) is the same as the function Ec(Te) introduced in Sec. VII-4 of Part A5, is the energy required to produce each electron-ion pair, including the average energy radiated away in line radiation during this process. In steady state, every ion lost has to be replaced, and therefore this ionization and excitation energy is lost also. The Ec curve, originally due to Vahedi, is important, since it represents the major part of the energy loss. The useful part of this curve is given here on a log-log scale for argon. Equating the power lost to the power Prf absorbed by the plasma, we have, from Eq. (8), 1½rf s totP ng c AW= , (18) from which the density can be calculated. 3. Electron temperature In the previous discussion, we assumed a more or less uniform and steady-state plasma with ionization occurring throughout. The plasma, however, can be nonsteady in several ways. It can be pulsed so as to make use of the afterglow, where KTe drops to a low value. It can also flow away from the antenna to form a detached 2 2 0 0 2 0 0 (½ )exp( / 2 ) exp( / 2 ) w x x e x x e e e e w x e x x mv mv KT v dv KT e wdw W KT KT mv KT v dv e dw ∞ ∞ − ∞ ∞ − − = + = + − ∫ ∫ ∫ ∫ (16) Plasma Sources IV 73 Fig. 28. Data and theory showing electron cooling by inelastic collisions (UCLA). plasma. If there is no more energy input in the downstream region, the electron temperature is determined by a balance between energy loss by radiation and energy gain by heat conduction. We give an example of this. The heat conduction equation for the electron fluid is 3 0 2 e d nKT Q dt   = − ∇ ⋅ =    q , (19) where Q is the heat source, and q the heat flow vector, and the time derivative is zero in steady state. Because of their small mass, electrons lose little energy in colliding with ions or neutrals, so Q is essentially −Wc. For flow along B0, q is given in Coulomb scattering theory by 3/ 2 5 , 3.2 , 3.44 10 , ln e e e eV e nKT q KT z m T n κ κ τ τ ∂ = − = ∂ = × Λ " " (20) where κ|| is the coefficient of heat conduction along B0 and τe is the electron-electron scattering time. A solution of Eq. (19) can be compared with the KTe data given above for a helicon discharge, and the result shows good agreement (Fig. 28). 4. Ion temperature The ion temperature does not play an important role in the overall equilibrium, and it is difficult to measure. However, it is interesting because anomalously high KTi has been observed in helicon discharges, and this has not yet been definitively explained. Here we wish to see what KTi should be according to classical theory. The ions gain energy by colliding with electrons, which get their energy from the RF field. The ions lose energy by colliding with neutrals. Since the neutrals have almost the same mass as the ions, the latter process will dominate, and Ti is expected to be near T0, the temperature of the neutrals. The rate of energy gain is proportional to the difference between Te and Ti: ( )iei eq e i dT T T dt ν= − , (21) where the coefficient is the rate of equilibration between ions and electrons and is obtained from the theory of Coulomb collisions. It is proportional to Te -3/2 . The rate Part A674 Ion Temperature in Argon Discharges 0.0 0.2 0.4 0.6 0.8 1.0 1.2 0 1 2 3 4 5 6 Te (eV) Ti(eV) 0.80 0.43 0.33 0.20 0.09 n/n(fill) Fig. 29. Ion temperature vs. p0 and KTe, according to classical Coulomb theory. of energy loss is proportional to the difference between Ti and T0: 0v ( )i n cx i dT n T T dt σ− = < > − , (22) where the charge-exchange collision rate is used because that is dominant. Equating the loss and gain rates, we can solve for Ti as a function of Te and p0. One such solution is shown in Fig. 29. We see that for fractional ionizations <10%, as are normal, Ti should be < 0.1 eV. It is therefore surprising that KTi 's of order 1 eV have been observed. This could happen if there is neutral depletion, so that the neutral density is far below the fill density. It has also been suggested that the ions are heated by low-frequency waves generated by an instability. Plasma Diagnostics 75 Fig. 1. Emission of ionized argon light at various z positions in a helicon dis- charge. PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. F.F. Chen PART A7: PLASMA DIAGNOSTICS X. INTRODUCTION Diagnostics and sensors are both measurement methods, but they have different connotations. Diagnostic equipment is used in the laboratory on research devices and therefore can be a large, expensive, and one-ofa-kind type of instrument. Sensors, on the other hand, are used in production and therefore have to be simple, small, unobtrusive, and foolproof. For instance, endpoint detectors, which signal the end of an etching step by detecting a spectral line characteristic of the underlying layer, are so important that they are continually being improved. Practical sensors are few in number but constitute a large subject which we cannot cover here. We limit the discussion to laboratory equipment used to measure plasma properties in processing tools. Diagnostics for determining such quantities as n, KTe, Vs, etc. that we have taken for granted so far can be remote or local. Remote methods do not require insertion of an object into the plasma, but they do require at least one window for access. Local diagnostics measure the plasma properties at one point in the plasma by insertion of a probe of one type or another there. Remote methods depend on some sort of radiation, so the window has to be made of a material that is transparent to the wavelength being used. Sometimes quartz or sapphire windows are needed. The plasma can put a coating on the window after a while and change the transmission through it. Probes, on the other hand, have to withstand bombardment by the plasma particles and the resulting coating or heating; yet, they have to be small enough so as not to change the properties being measured. XI. REMOTE DIAGNOSTICS 1. Optical spectroscopy One common remote diagnostic is optical emission spectroscopy (OES), which is the optical part of the more general treatment of radiation covered in Part B. In OES, visible light is usually collected by a lens and focused onto the slit of a spectrometer. The detector can be a photodiode, a photomultiplier, or an optical multichannel analyzer (OMA). With a photodiode, interference filters are used to isolate a particular spectral line. Optical radiation can also be used to image a plasma in the light of a particular spectral line using an interference Part A776 Fig. 2. Schematic of a local OES probe. Fig. 3 Example of data on optical emission vs. z. filter and a sensitive CCD camera. Fig. 1 shows the emission from ionized argon recorded with a narrowband filter for the 488 nm line of Ar+ . A photomultiplier can see only one part of the spectrum at a time, but it is the most sensitive detector for faint signals. An OMA records an entire range of wavelengths on a CCD (charge-coupled detector) and is the convenient for scans of a single line or for recording an entire spectrum. By comparing the intensities of different spectral lines, one can determine not only the atomic species present but also the electron temperature, density, and the ionization fraction. The relative intensities of two lines with different excitation thresholds can yield KTe. The relative intensities of an ion line and a neutral line can be used to estimate the ionization fraction. In principle, line broadening contains a large amount of information, but only for hot, highly ionized plasmas. For instance, Doppler broadening yields the velocity of the emitting ion or atom. Stark broadening or pressure broadening gives information on density. This is because, at high densities, collisions interrupt the emission of radiation, and hence the line cannot contain a single frequency. In plasma processing, the most useful and well developed technique is actinometry. In this method, a known concentration of an impurity is introduced, and the intensities of two neighboring spectral lines, one from the known gas and one from the sample, are compared. Since both species are bombarded by the same electron distribution and the concentration of the actinometer is know, the density of the sample can be calculated. Though most optical methods average over a ray path in the plasma, a more local measurement of light emission can be made with a probe containing a small lens coupled to an optical fiber. Such a probe is shown in Fig. 2, and data from it in Fig. 3.. The lens collects light preferentially from a small focal spot just in front of it. The Ar+ light collected by it is localized under the antenna if B0 = 0, as would be expected in ICP operation. 2. Microwave interferometry Another useful remote diagnostic is microwave interferometry. A beam of microwave radiation is launched by a horn antenna into a plasma through a window. According to Eq. (A5-1), these waves can propagate in the plasma if ω > ωp. From (A5-1) it is easily seen that the phase velocity in the plasma is Plasma Diagnostics 77 Fig. 4. Schematic of a microwave interferometer (Chen, p. 91). 2 2 1/ 2 (1 / )p c k ω ω ω = − . (1) This is faster than the velocity of light, but it is quite all right for phase velocity to be > c as long as the group velocity is < c. The microwave beam therefore has a longer wavelength inside the plasma than in air. The presence of the plasma therefore changes the phase of the microwave signal, a change which increases with the density of the plasma. The “standard” setup is shown in Fig. 4. The microwave beam from a generator is split into two parts, one going through the plasma and the other going through a waveguide toward the detector, where the two beams are recombined By adjusting the reference signal with an attenuator and phase shifter, the two signals can be made to cancel each other, so that the detector shows zero signal when there is no plasma. If the plasma density is increased slowly, the signal going through the plasma will have undergone fewer oscillations, and this phase shift will cause the nulled detector to give a finite dc signal output. If the plasma density reaches a value such that the wave loses exactly one wavelength, the detector will again return to zero; the signal is shifted by one fringe. By counting the number of fringes either on the way up to maximum density or on the way down, one obtains a measure of the average density traversed by the microwave beam. Though this illustrates the principle of interferometry, it is not normally done this way. First, ω is usually chosen so that ωp /ω is a small number; then, the phase shift is linearly proportional to n. Second, the entire reference leg can be replaced by a mirror on the opposite side of the plasma to reflect the beam back into the launching horn. The beam then travels twice through the plasma and suffers twice the phase shift. Besides increasing the sensitivity, this method obviates phase shifts in the reference leg due to small changes in room temperature, which change the length of the waveguide. If the plasma is always on, it is difficult to set the initial null of the detector. There are various ways to get around this which we need not explain here. Modern network analyzers can do most of these calibrations automatically, but the principle of operation is always the same. The phase shift ∆φ that the plasma causes can be calculated as follows. If k0 = ω /c is the propagation constant in air and k1 is that in the plasma, we have Part A778 0 2 4 6 8 0 1 2 3 4 5 x (mm) Interferometersignal Vacuum Plasma Fig. 5. Fringe shifts as the path length is changed. The lines are analytic fits through the points. Fig. 6. Fringe patterns views along the axis can show the shape of the plasma [Heald and Wharton, 1978]. 0 1( )k k dxφ∆ = − ∫ , (2) where k1 is given by Eq. (1) as 1/ 2 1 0 1 c n k k n   = −    . (3) Here we have replaced ωp 2 /ω2 by n / nc , where nc is the “critical density” defined by 2 2 0 cn e m ω ε = . (4) The phase shift is then 1/ 2 0 ( ) 1 1 c n x k dx n φ     ∆ = − −      ∫ . (5) We see that the phase shift measures only the line integral of the density, not the local density. If ω is high enough that n << nc, Eq. (5) can be Taylor expanded to obtain 0 0( ) ½ 2 c c k n n x dx k L n n φ < > ∆ ≈ ≈ ∫ radians, (6) where is the average density over the path length L. In the reflection method, the integral (or L) must be doubled. Fig. 5 gives an example of the interferometer output in the double-pass method as the mirror is moved to change the path length. The fringe shift is clearly seen, but it is also evident that the waveform has been distorted. This is because the microwave generator did not give a pure signal, and its harmonics at higher ω suffered a different phase shift. By fitting the curves to sine waves and their harmonics and adjusting the relative phases, one can recover the phase shift of the fundamental and thus get the density. Fig. 6 shows an end view of a dense plasma, in which the path length was so long that many fringes are seen, revealing the shape of the plasma. Microwave interferometry is useful for calibrating Langmuir probes. With a probe, one can measure the density profile across a radius or diameter of the plasma, but the absolute value of the density may not be known accurately. By using the measured density profile to compute the integral in Eq. (6), one can find the absolute density by measuring the microwave phase shift. The errors in this method come from the fact that the plasma is not perfectly planar, and the microwave beam is not Plasma Diagnostics 79 Fig. 7. Perpendicular alignment of injection laser and collection optics [Scime et al., Plasma Sources Sci. Technol. 7, 186 (1998)]. Fig. 8. LIF data on Ti parallel (solid points) and perpendicular (open points) to B0, showing anomalously high KTi [Kline et al., Phys. Rev. Lett. 88, 195002 (2002]). perfectly parallel. Refraction can cause part of the beam to miss the collector, and reflections from the chamber walls can cause spurious waves. 3. Laser Induced Fluorescence (LIF) This diagnostic is both non-invasive and local because it uses intersecting beam paths. Furthermore, it is the only way to measure Ti without using a large energy analyzer. One laser, tuned to a particular transition, is used to raise ions to an excited state along one path through the plasma. The excited ions fluoresce, giving off light at another frequency, and this light is collected by a lens focused to one part of the path, providing the localization. Doppler broadening of the line yields the ion velocity spread in a particular direction. The equipment is large, expensive, and difficult to set up, so that it is available in a relatively few laboratories. LIF is treated in more detail in Part B. Figure 7 shows a typical LIF setup, and Fig. 8 shows data taken in a helicon plasma. XII. LANGMUIR PROBES 1. Construction and circuit A Langmuir probe is small conductor that can be introduced into a plasma to collect ion or electron currents that flow to it in response to different voltages. The current vs. voltage trace, called the I-V characteristic, can be analyzed to reveal information about n, Te, Vs (space potential), and even the distribution function fe(v), but not the ion temperature. Since the probe is immersed in a harsh environment, special techniques are used to protect it from the plasma and vice versa, and to ensure that the circuitry gives the correct I −V values. The probe tip is made of a high-temperature material, usually a tungsten rod or wire 0.1−1 mm in diameter. The rod is threaded into a thin ceramic tube, usually alumina, to insulate it from the plasma except for a short length of exposed tip, about 2−10 mm long. These materials can be exposed to low-temperature laboratory plasmas without melting or excessive sputtering. To avoid disturbing the plasma, the ceramic tube should be as thin as possible, preferably < 1 mm in diameter but usually several times this. The probe tip should extend out of the end of the tube without touching it, so that it would not be in electrical contact with any conducting coating that may deposit onto the insulator. The assembly is encased in a vacuum jacket, which could be a stainless steel or glass tube 1/4″ in outside diameter (OD). It is preferable to make the vacuum seal at the outside end of the probe as- Part A780 Fig. 9. A carbon probe tip assembly with RF compensation circuitry [Sudit and Chen, Plasma Sources Sci. Technol. 4, 162 (1994)]. v PROBE R (a) PROBE R v (b) Fig. 10. Two basic configurations for the probe circuit. sembly rather than at the end immersed in the plasma, which can cause a leak. Only the ceramic part of the housing should be allowed to enter the plasma. Some commercial Langmuir probes use a rather thick metal tube to support the probe tip assembly, and this can modify the plasma characteristics unless the density is very low. In dense plasmas the probe cannot withstand the heat unless the plasma is pulsed or the probe is mechanically moved in and out of the plasma in less than a second. When collecting ion current, the probe can be eroded by sputtering, thus changing its collection area. This can be minimized by using carbon as the tip material. Ordinary pencil lead, 0.3mm in diameter works well and can be supported by a hypodermic needle inside the ceramic shield. One implementation of a probe tip assembly is shown in Fig. 9. There are two basic ways to apply a voltage V to the probe and measure the current I that it draws from the plasma, and each has its disadvantages. In Fig. 10a, the probe lead, taken through a vacuum feedthru, is connected to a battery or a variable voltage source (bias supply) and then to a termination resistor R to ground. To measure the probe current, the voltage across R is recorded or displayed on an oscilloscope. This arrangement has the advantage that the measuring resistor is grounded and therefore not subject to spurious pickup. Since the resistor is usually 10-1000Ω, typically 50Ω, this is not a serious problem anyway. The disadvantage is that the bias supply is floating. If this is a small battery, it cannot easily be varied. If it is a large electronic supply, the capacitance to ground will be so large that ac signals will be short-circuited to ground, and the probe cannot be expected to have good frequency response. The bias supply can also act as an antenna to pick up rf noise. To avoid this, one can ground the bias supply and put the measuring resistor on the hot side, as shown in Fig. 10b. This is usually done if the bias supply generates a sweep voltage. However, the voltage across R now has to be measured with a differential amplifier or some other floating device; or, it can be optoelectronically transmitted to a grounded circuit. The probe voltage Vp should be measured on the ground side of R so as not to load the probe with another stray capacitance. To measure plasma potential with a Langmuir probe, one can terminate the probe in a high impedance, such as the 1 MΩ input resistance of the oscilloscope. This is called a floating probe. A lower R, like 100K, can be used to suppress pickup. The minimum value of Plasma Diagnostics 81 I V Ion saturation Electron saturation Transition "Knee" Floating potential (a) -0.02 0.00 0.02 0.04 0.06 0.08 0.10 0.12 -100 -50 0 50V I(A) (b) Fig. 11. (a) An idealized I – V characteristic showing its various parts; (b) a real I – V curve from an ICP. R has to be high enough that the IR drop through it does change the measured voltage. A rough rule of thumb is that IsatR should be much greater than TeV, or R >> TeV/Isat, where Isat is the ion saturation current defined below. The voltage measured is not the plasma potential but the floating potential, also defined below. The large value of R means that good frequency response is difficult to achieve because of the RC time constant of stray capacitances. One can improve the frequency response with capacitance neutralization techniques, but even then it is hard to make a floating probe respond to RF frequencies. 2. The electron characteristic A Langmuir I-V trace is usually displayed upside down, so that electron current into the probe is in the +y direction. The curve, resembling that in Fig. 11a, has five distinct parts. The point at which the curve crosses the V axis is called the floating potential Vf. To the left of this the probe draws ion current, and the curve soon flattens out to a more or less constant value called the ion saturation current Isat. To the right of Vf, electron current is drawn, and the I-V curve goes into an exponential part, or transition region, as the Coulomb barrier is lowered to allow slower electrons in the Maxwellian distribution to penetrate it. At the space potential Vs, the curve takes a sharp turn, called the knee, and saturates at the electron saturation current Ies. Actual I – V curves in RF or magnetized plasmas usually have an indistinct knee, as shown in Fig. 11b. The exponential part of the I − V curve, when plotted semi-logarithmically vs. the probe voltage Vp, should be a straight line if the electrons are Maxwellian: exp[ ( )/ )]e es p s eI I e V V KT= − , (7) where, from Eq. (A4-2), 1/ 2 v/ 4 2 e es e e KT I eAn en A mπ   = =     , (8) A being the exposed area of the probe tip. Eq. (7) shows that the slope of the (ln I)−Vp curve is exactly 1/TeV and is a good measure of the electron temperature. As long as the electrons are Maxwellian and are repelled by the probe, the EEDF at a potential V < 0 is proportional to 2 2 (½ v ) / | |/ ( v / 2 ) (v) e e ee e em eV KT eV KT m KT f − + − − ∝ = . (9) Part A782 0.1 1.0 10.0 100.0 0 5 10 15 20V Ie(mA) Maxwellian Modified data Raw Data Fig. 12. A semilog plot of electron current from an I – V curve in an ICP. We see that f(v) is still Maxwellian at the same Te; only the density is decreased by exp(−e|V|/KTe). Thus, the slope of the semilog curve is independent of probe area or shape and independent of collisions, since these merely preserve the Maxwellian distribution. However, before Ie can be obtained from I, one has to subtract the ion current Ii. This can be done approximately by drawing a straight line through Isat and extrapolating it to the electron region. One can estimate the ion contribution more accurately by using one of the theories of ion collection discussed below, but refinements to this small correction are usually not necessary, and they affect only the highenergy tail of the electron distribution. One easy iteration is to change the magnitude of the Isat correction until the ln I plot is linear over as large a voltage range as possible. Fig. 12 shows a measured electron characteristic and a straight-line fit to it. The ion current was calculated from a theoretical fit to Isat and added back to I to get Ie. The uncorrected points are also shown; they have a smaller region of linearity. 3. Electron saturation Since Isat is ≈cs because of the Bohm sheath criterion, Ies, given by Eq. (8), should be ≈(M/m) ½ times as large as Isat. In low-pressure, unmagnetized discharges, this is indeed true, and the knee of the curve is sharp and is a good measure of Vs. For very high positive voltages, Ies increases as the sheath expands, the shape of the curve depending on the shape of the probe tip. However, effects such as collisions and magnetic fields will lower the magnitude of Ies and round off the knee so that Vs is hard to determine. In particular, magnetic fields strong enough to make the electron Larmor radius smaller than the probe radius will limit Ies to only 10-20 times Isat because the probe depletes the field lines that it intercepts, and further electrons can be collected only if they diffuse across the B-field. The knee, now indistinct, indicates a space potential, but only that in the depleted tube of field lines, not Vs in the main plasma. In this case, the I − V curve is exponential only over a range of a few KTe above the floating potential and therefore samples only the electrons in the tail of the Maxwellian. One might think that measurement of Ies would give information on the electron density, but this is possible only at low densities and pressures, where the mean free path is very long. Otherwise, the current collected by the probe is so large that it drains the plasma and changes its equilibrium Plasma Diagnostics 83 properties. It is better to measure n by collecting ions, which would give the same information, since plasmas are quasineutral. More importantly, one should avoid collecting saturation electron current for more than a few milliseconds at a time, because the probe can be dam- aged. 4. Space potential The time-honored way to obtain the space potential (or plasma potential) is to draw straight lines through the I − V curve in the transition and electron saturation regions and call the crossing point Vs, Ies. This does not work well if Ies region is curved. As seen in Fig. 11b, a good knee is not always obtained even in an ICP with B0 = 0. In that case, there are two methods one can use. The first is to measure Vf and calculate it from Eq. (A4- 4), regarding the probe as a wall. The second is to take the point where Ie starts to deviate from exponential growth; that is, where ( )eI V′ is maximum or ( )eI V′′ is zero. If ( )eI V′ has a distinct maximum, a reasonable value for Vs is obtained, but it would be dangerous to equate the current there to Ies. That is because, according to Eq. (7), Ies depends exponentially on the assumed value of Vs. 5. Ion saturation current1 a) Plane probes. The measurement of Isat is the simplest and best way to determine n. At densities above about 1011 cm-3 , the sheath around a negatively biased probe is so thin that the area of the sheath edge is essentially the same as the area of the probe tip itself. The ion current is then just that necessary to satisfy the Bohm sheath criterion: 1/ 2 0.5 ( / )sat eI eAn KT M= , (10) where the factor 0.5 represents ns/n. This value is only approximate; when probes are calibrated against other diagnostics, such as microwave interferometry, a factor of 0.6-0.7 has been found to be more accurate. Note that Eq. (10) predicts a constant Isat, which can happen only for flat probes in which the sheath area cannot expand as the probe is made more and more negative. In practice, Isat usually has a slope to it. This is because the ion current has to come from a disturbed volume of plasma (the presheath) where the ion distribution changes from iso- 1 For detailed references, see F.F. Chen, Electric Probes, in "Plasma Diagnostic Techniques", ed. by R.H. Huddlestone and S.L. Leonard (Academic Press, New York, 1965), Chap. 4, pp. 113-200. Part A784 -0.03 0.00 0.03 -160 -140 -120 -100 -80 -60 -40 -20 0 20V I(mA) BRL theory Linear fit Bohm current ξp = 20 Te = 3 eV, n = 4 x 10 12 cm -3 Vf Vs α = 0.74 Fig. 13. Illustrating the extrapolation of Ii back to the floating potential to get Isat. In this case, the Bohm coefficient 0.5 in Eq. (10) has to be replaced by 0.74 to get the right density. p a Vo Rp Fig. 14. Definition of impact parameter p. tropic to unidirectional. If the probe is a disk of radius R, say, the disturbed volume may have a size comparable to R, and would increase as the |Vp| increases. In that case, one can extrapolate Ii back to Vf to get a better measure of Isat before the expansion of the presheath. This is illustrated in Fig. 13. Better saturation with a plane probe can be obtained by using a guard ring, a flat washershaped disk surrounding the probe but not touching it. It is biased at the same potential as the probe to keep the fields planar as Vp is varied. The current to the guard ring is disregarded. A section of the chamber wall can be isolated to be used as a plane probe with a large guard ring. b) Cylindrical probes i) OML theory. As the negative bias on a probe is increased to draw Ii, the sheath on cylindrical and spherical probes expands, and Ii does not saturate. Fortunately, the sheath fields fall off rapidly away from the probe so that exact solutions for Ii(Vp) can be found. We consider cylindrical probes here because spherical ones are impractical to make, though the theory for them converges better. The simplest theory is the orbital-motionlimited (OML) theory of Langmuir. Consider ions coming to the attracting probe from infinity in one direction with velocity v0 and various impact parameters p. The plasma potential V is 0 at ∞ and is negative everywhere, varying gently toward the negative probe potential Vp . Conservation of energy and angular momentum give 2 2 0 0 0 ½ ½ a a a mv mv eV eV pv av = + ≡ − = (11) where eV < 0 and a is the distance of closest approach to the probe of radius Rp . Solving, we obtain 1/ 2 2 2 0 0 0 0 ½ ½ 1 , 1a a a a V v V mv mv p a a V v V     = + = = +        .(12) If a ≤ Rp, the ion is collected; thus, the effective probe radius is p(Rp). For monoenergetic particles, the flux to a 2 F.F. Chen, Phys. Plasmas 8, 3029 (2001). 3 F.F. Chen, J.D. Evans, and D. Arnush, Phys. Plasmas 9, 1449 (2002) 4 I.D. Sudit and F.F. Chen, RF compensated probes for high-density discharges, Plasma Sources Sci. Technol. 3, 162 (1994). 5 N. Hershkowitz, How Langmuir Probes Work, in Plasma Diagnostics, Vol. 1, Ed. by O. Auciello and D.L. Flamm (Acad. Press, N.Y., 1994), Chap. 3, p. 113. Plasma Diagnostics 85 probe of length L is therefore 1/ 2 02 (1 / )p a rR L V VπΓ = + Γ , (13) where Γr is the random flux of ions of that energy. Langmuir then extended this result to energy distributions which were Maxwellian at some large distance r = s from the probe, where s is the “sheath edge”. The random flux Γr is then given by the usual formula 1/ 2 2 i r KT n Mπ   Γ =     . (14) With Ap defined as the probe area, integrating over all velocities yields the cumbersome expression ½ ½ erf( ) [1 erf ( ) ]p r s A e a χ χ   Γ = Γ Φ + − + Φ    , (15) where 2 2 2 / , ,p i p a eV KT a R s a χ χ   ≡ − Φ ≡ =  −  . Fortunately, there are small factors. In the limit s >> a, when OML theory applies, if at all, we have Φ << χ, and for Ti → 0, 1/χ <<1. Expanding in Taylor series, we find that the Ti dependences of χ and Γr cancel, and a finite limiting value of the OML current exists, independently of the value of Ti. 1/ 2 0 | |2 i p pT eV I A ne Mπ→   →     . (16) Thus, the OML current is proportional to |Vp|1/2 , and the I − V curve is a parabola, while the I2 – V curve is a straight line. This scaling is the result of conservation of energy and angular momentum. Because ions have large angular momentum at large distances, though they have small velocities, they tend to orbit the probe and miss it. The probe voltage draws them in. The value of Ti cancels out mathematically, but Ti has to be finite for this physical mechanism to work. The OML result, though simple, is very restricted in applicability. Since the sheath radius s was taken to be infinite, the density has to be so low that the sheath is much larger than the probe. The potential variation V(r) has to be gentle enough that there does not exist an “absorption radius” inside of which the E-field is so strong that no ions can escape being collected. Except in very tenuous plasmas, a well developed sheath and an absorp- Part A786 tion radius exist, and OML theory is inapplicable. Nonetheless, the I2 – V dependence of Isat is often observed and is mistakenly taken as evidence of orbital motion. ii) ABR theory. To do a proper sheath theory, one has to solve Poisson’s equation for the potential V(r) everywhere from the probe surface to r = ∞. Allen, Boyd, and Reynolds (ABR) simplified the problem by assuming ab initio that Ti = 0, so that there are no orbital motions at all: the ions are all drawn radially into the probe. Originally, the ABR theory was only for spherical probes, but it was later extended to cylindrical probes by Chen1 , as follows. Assume that the probe is centered at r = 0 and that the ions start at rest from r = ∞, where V = 0. Poisson’s equation in cylindrical coordinates is ( ) / 0 0 1 , eeV KT e i e V e r n n n n e r r r ε ∂ ∂  = − =  ∂ ∂  . (17) To electrons are assumed to be Maxwellian. To find ni, let I be the total ion flux per unit length collected by the probe. By current continuity, the flux per unit length at any radius r is ( )½ / 2 , where 2 /i i in v I r v eV MπΓ = = = − . (18) Thus, -1/2 2 2 i i I eV n v r Mπ Γ −  = =     . (19) Poisson’s equation can then be written -1/2 / 0 0 1 2 2 eeV KTV e I eV r n e r r r r Mε π  ∂ ∂ −     = − −    ∂ ∂      (20) Defining 1/ 2 , e s e eV KT c KT M η   ≡ − ≡     , (21) we can write this as ( )-1/2 0 0 21 2 e s KT e I r n e e r r r r c ηηη ε π −  ∂ ∂   = − −  ∂ ∂      (22) or ( )-1/2 0 2 00 21 2 e s KT I r e r r r r n cn e ηηε η π −∂ ∂  − = −  ∂ ∂  . (23) Plasma Diagnostics 87 Fig. 15. ABR curves for η(ξ). Fig. 16. V−I curves derived from η(ξ). The Debye length appears on the left-hand side as the natural length for this equation. We therefore normalize r to λD by defining a new variable ξ: 1/ 2 0 2 0 , e D D r KT n e ε ξ λ λ   ≡ ≡       . (24) Eq. (23) now becomes ( ) ( ) ( ) -1/2 0 -1/2 0 1/ 22 1/20 0 0 ½ ½ 0 0 1 2 2 1 2 2 2 2 2 2 s D s e e e I e r n c I e n c n eI M e n KT KT eI M e KT n η η η η η ξ ξ η ξ ξ ξ π η ξ π λ η ξ π ε η ξ π ε − − − − − −  ∂ ∂ = −  ∂ ∂  = −   = −        = −    (25) Defining 1/2 0 02 2e eI M J KT nπ ε   ≡     , (26) we arrive at the ABR equation for cylindrical probes: -½ J e ηη ξ η ξ ξ ξ − ∂ ∂ = −  ∂ ∂  . (27) For each assumed value of J (normalized probe current), this equation can be integrated from ξ = ∞ to any arbitrarily small ξ. The point on the curve where ξ = ξp (the probe radius) gives the probe potential ηp for that value of J. By computing a family of curves for different J (Fig. 15), one can obtain a J − ηp curve for a probe of radius ξp by cross-plotting (Fig 16). Of course, both J and ξp depend on the unknown density n0, which one is trying to determine from the measured current Ii. (KTe is supposed to be known from the electron characteristic.) The extraction of n0 from these universal curves is a trivial matter for a computer. In the graphs the quantity Jξp is plotted, since that is independent of n0. Note that for small values of ξp, I2 varies linearly with Vp, as in OML theory, but for entirely different reasons, since there is no orbiting here. Part A788 Fig. 17. Definition of absorption radius. Fig. 18. Effective potential seen by ions with angular momentum J. iii) BRL theory. The first probe theory which accounted for both sheath formation and orbital motions was published by Bernstein and Rabinowitz (BR), who assumed an isotropic distribution of ions of a single energy Ei. This was further refined by Laframboise (L), who extended the calculations to a Maxwellian ion distribution at temperature Ti. The BRL treatment is considerably more complicated than the ABR theory. In ABR, all ions strike the probe, so the flux at any radius depends on the conditions at infinity, regardless of the probe radius. That is why there is a set of universal curves. In BRL theory, however, the probe radius must be specified beforehand, since those ions that orbit the probe will contribute twice to the ion density at any given radius r, while those that are collected contribute only once. The ion density must be known before Poisson’s equation can be solved, and clearly this depends on the presence of the probe. There is an “absorption radius” (Fig. 17), depending on J, inside of which all ions are collected. Bernstein solved the problem by expressing the ion distribution in terms of energy E and angular momentum J instead of vr and v⊥. Ions with a given J see an effective potential barrier between them and the probe. They must have enough energy to surmount this barrier before they can be collected. In Fig. 18, the lowest curve is for ions with J = 0; these simply fall into the probe. Ions with finite J see a potential hill. With sufficient energy, they can climb the hill and fall to the probe on the other side. The dashed line through the maxima shows the absorption radius for various values of J. The computation tricky and tedious. It turns out that KTi makes little difference if Ti/Te < 0.1 or so, as it usually is. Laframboise’s extension to a Maxwellian ion distribution is not normally necessary; nonetheless, Laframboise gives the most complete results. Fig. 19 shows an example of ion saturation curves from the BRL theory. One sees that for large probes (Rp/λD >>1) the ion current saturates well, since the sheath is thin. For small Rp/λD, Ii grows with increasing Vp as the sheath radius increases. One might think that the ABR result would be recovered if takes Ti = 0 or Ei = 0 in the BRL computation. However, this happens only for spherical probes. For cylindrical probes, there is a problem of nonuniform convergence. Since the angular momentum is Mvr, for r → ∞ ions with zero thermal velocity have J = (M)(0)(∞), an indeterminate form. The correct treatment is to calculate the probe current for Ti > 0 and then take the limit Ti Plasma Diagnostics 89 Fig. 19. Laframboise curves for Ii − V characteristics in dimensionless units, in the limit of cold ions. Each curve is for a different ratio Rp/λD. 0 1 2 3 4 5 6 200 400 600 800 100 Prf (W) Density(10 11 cm -3 ) BRL mW ave BRL*ABR ABR Fig. 20. Comparison of n measured with microwaves with probes using two different probe theories. 0.0 0.5 1.0 1.5 2.0 2.5 -70 -60 -50 -40 -30 -20 -10 0 10 V I(mA) 4/3 Fig. 21. Extrapolation to get Ii at Vf. 0 1 2 3 4 5 6 300 450 600 750 900 Prf (W) n(1011 cm-3 ) Ne(MW) Ni(CL) Ni(ABR) Ne,sat 10 mTorr Fig. 22. Comparison of microwave and probe densities using the floating potential method (CL), ABR theory, and Ie,sat. → 0, as BRL have done. The BRL predictions have been borne out in experiments in fully ionized plasmas, but not in partially ionized ones. iv) Comparison among theories. It is not reasonable to reproduce the ABR or BRL computations each time one makes a probe measurement. Chen2 has solved this problem by parametrizing the ABR and BRL curves so that the Ii − V curve can be easily be created for any value of Rp/λD. One can then compute the plasma density from the probe data using the ABR and BRL theories and compare with the density measured with microwave interferometry. Such a comparison is shown in Fig. 20. One sees that the ABR theory predicts too low a density because orbiting is neglected, and therefore the predicted current is too high and the measured current is identified with a lower density. Conversely, BRL theory predicts too high a density because it assumes more orbiting than actually occurs, so that the measured current is identified with a high density. This effect occurs in partially ionized plasmas because the ions suffer chargeexchange collisions far from the probe, outside the sheath, thus losing their angular momentum. The BRL theory assumes that the ions retain their angular momentum all the way in from infinity. One might expect the real density to lie in between, and indeed, it agrees quite well with the geometric mean of the BRL and ABR densities. Treating the charge-exchange collisions rigorously in the presheath would be an immense problem, but recently Chen et al.3 have found an even easier, fortuitous, way to estimate the plasma density in ICPs and other processing discharges. The method relies on finding the ion current at floating potential Vf by extrapolating on a graph of Ii 4/3 vs. Vp, as shown in Fig. 21. The power 4/3 is chosen because it usually leads to a straight line graph. At Vp = Vf, let the sheath thickness d be given by the Child-Langmuir formula of Eq. (A4-7) with V0 = Vf. The sheath area is then A = 2π(Rp+d)L. If the ions enter the sheath at velocity cs with density ns = ½n0cs, the ion current is Ii = ½n0eAcs, and n can easily be calculated from the extrapolated value of Ii(Vf). Note that if Rp << d, Eq. (A4-7) predicts the Ii 4/3 − Vp dependence (but this is accidental). (Since d ∝ λD ∝ n−1/2 , n has to be found by iteration or by solving a quadratic equation.) The density in a 10 mTorr ICP discharge is shown in Fig. 22, compared to n measured by microwaves, by probes using the ABR theory, or by probes using the saturation electron current. The Vf(CL) method fits best, though the fit Part A790 Fig. 23. EEDF curves obtained with a Langmuir probe in a TCP discharge [Godyak et al. J. Appl. Phys. 85, 3081, (1999)]. is not always this good. The OML theory (not shown) also fits poorly. Though this is a fast and easy method to interpret Isat curves, it is hard to justify because the CL formula of Eq. (A4-7) applies to planes, not cylinders, and the Debye sheath thickness has been neglected, as well as orbiting and collisions. This simple-minded approach apparently works because the neglected effects cancel one another. From the preceding discussion, it is clear that the rigorous theories, ABR and BRL, can err by a factor of 2 or more in the value of n in partially ionized plasmas. There are heuristic methods, but these may not work in all conditions. It is difficult for Langmuir probes to give a value of n accurate to better than 10−20%; fortunately, such accuracy is not often required. 6. Distribution functions Since the ion current is insensitive to Ti, Langmuir probes cannot measure ion temperature, and certainly not the ion velocity distribution. However, careful measurement of the transition region of the I − V characteristic can reveal the electron distribution if it is isotropic. If the probe surface is a plane perpendicular to x, the electron flux entering the sheath depends only on the x component of velocity, vx. For instance, the Maxwell distribution for vx is 2 2 21 (v ) exp( v / v ), v 2 / v M x x th th e th f KT m π   = − ≡     . (28) The coefficient normalizes f(v) so that its integral over all vx’s is unity. If f(v) is not Maxwellian, it will have another form and another coefficient in front. The electron current that can get over the Coulomb barrier and be collected by the probe will therefore be min 2 min v v (v ) v , ½ v ( )e x x x s p pI eAn f d m e V V eV ∞ = = − = − ∫ (29) where vmin is the minimum energy of an electron that can reach the probe, and Vs = 0 by definition. Taking the derivative and simplifying, we find min min v v =v v v (v ) v v (v ) x e x x x p p p p x x x p dI dd eAn f dV dV dV dV d eAn f dV ∞ = = − ∫ Plasma Diagnostics 91 Fig. 24. An I – V curve of a biMaxwellian EEDF. -20 -10 0 10 20 30 Vp - Vs Electroncurrent (a) -0.1 0.0 0.1 0.2 0.3 0.4 0.5 -20 -15 -10 -5 0 5 10 eV/KTe ElectronCurrent 0 5 10 15 Vrf V) Te = 3 eV Helium (b) Fig. 25 . (a) The center curve is the correct I – V curve. The dashed ones are displaced by ±5V, representing changes in Vs. At the vertical lines, the average Ie between the displaced curves is shown by the dot. The line through the dots is the time-averaged I – V curve that would be observed, differing greatly from the correct curve. (b) Computed I – V curves for sinusoidal Vs oscillations of various amplitudes. min 2 min v v v (v ) (v ) v x e x x p x dI e ne eAn f A f dV m m= − = − = ,(30) so that f(vx) can be found from the first derivative of the I – V curve. If the probe is not flat, however, one has to take the three-dimensional distribution g(v) = 4πv2 f(v), where v is the absolute value |v| of the velocity, and take into account the various angles if incidence. Without going into the details, we then find, surprisingly, that f(v) is proportional to the second derivative of the I – V curve: 2 2 (v)e p d I f dV ∝ (31) This result is valid for any convex probe shape as long as the distribution is isotropic, and for any anisotropic distribution if the probe is spherical. To differentiate I – V data twice will yield noisy results unless a good deal of smoothing is employed. Alternatively, one can dither the probe voltage by modulating it at a low frequency, and the signal at the dither frequency will be proportional to the first derivative. In that case, only one further derivative has to be taken to get f(v). Figure 23 is an example of non-Maxwellian f(v)’s obtained by double differentiation with digital filtering. In special cases where the EEDF consists of two Maxwellians with well separated temperatures, the two KTe’s can be obtained by straight-line fits on the semilog I – V curve without complicated analysis. An example of this is shown in Fig. 24. 7. RF compensation Langmuir probes used in RF plasma sources are subject to RF pickup which can greatly distort the I – V characteristic and give erroneous results. ECR sources which operate in the microwave regime do not have this trouble because the frequency is so high that it is completely decoupled from the circuitry, and the measured currents are the same as in a DC discharge. However, in RF plasmas, the space potential can fluctuate is such a way that the circuitry responds incorrectly. The problem is that the I – V characteristic is nonlinear. The “V” is actually the potential difference Vp − Vs , where Vp is a DC potential applied to the probe, and Vs is a potential that can fluctuate at the RF frequency and its harmonics. If one displaces the I – V curve horizontally back and Part A792 Fig. 26. Design of a dogleg probe. Fig. 27. I – V curves taken with and without an auxiliary electrode. forth around a center value V0, the average current I measured will not be I(V0), since I varies exponentially in the transition region and also changes slope rapidly as it enters the ion and electron saturation regions. The effect of this is to make the I – V curve wider, leading to a falsely high value of Te and shifting the floating potential Vf to a more negative value. This is illustrated in Fig. 25. Several methods are available to correct for this. One is to tap off a sinusoidal RF signal from the power supply and mix this with the probe signal with variable phase and amplitude. When the resultant I – V curve gives the lowest value of Te, one has probably simulated the Vs oscillations. This method has the disadvantage that the Vs oscillations can contain more than one harmonic. A second method is to measure the Vs oscillations with another probe or section of the wall which is floating, and add that signal to the probe current signal with variable phase and amplitude. The problem with this method is that the Vs fluctuations are generally not the same everywhere. A third method is to isolate the probe tip from the rest of the circuit with an RF choke (inductor), so that the probe tip is floating at RF frequencies but is fixed at the DC probe bias at low frequencies. The problem is that the probe tip does not draw enough current to fill the stray capacitances that connect it to ground at RF frequencies. One way is to place a large slug of metal inside the insulator between the probe tip and the chokes. This metal slug has a large area and therefore picks up enough charge from the Vs oscillations to drive the probe tip to follow them. However, we have found4 that the best way is to use an external floating electrode, which could be a few turns of wire around the probe insulator, and connect it through a capacitor to a point between the probe tip and the chokes. The charge collected by this comparatively large “probe” is then sufficient to drive the probe tip so that Vp - Vs remains constant. Note that this auxiliary electrode supplies only the RF voltage; the dc part is still supplied by the external power supply. The design of the chokes is also critical: they must have high enough Q to present a resonantly high impedance at both the fundamental and the second harmonic of the RF frequency. This is the reason there are two pairs of chokes in Fig. 9. One pair is resonant at ω, and the other at 2ω. Two chokes are used in series to increase the Q. A compromise has to be made between high Q and small physical size of the chokes. Figure 26 shows a “dogleg” design, which permits scans in two directions. Figure 27 shows an I – V curve taken with and without the auxiliary electrode, showing that the chokes Plasma Diagnostics 93 V R Fig. 28. A double probe. V R Fig. 29. A hot probe. themselves are usually insufficient. Without proper RF compensation, Langmuir probe data in RF discharges can give spurious data on Te, Vf, and f(v). However, if one needs to find only the plasma density, the probe can be biased so that V never leaves the ion saturation region, which is linear enough that the average Isat will be the correct value. 8. Double probes and hot probes5 When Vs fluctuates slowly, one can use the method of double probes, in which two identical probes are inserted into the plasma in close proximity, and the current from one to the other is measured as a function of the voltage difference between them. The I – V characteristic is then symmetrical and limited to the region between the Isat’s on each probe. If the probe array floats up and down with the RF oscillations, the I – V curve should not be distorted. This method does not work well in RF plasmas because it is almost impossible to make the whole two-probe system float at RF frequencies because of the large stray capacitance to ground. Even if both tips are RF compensated, the RF impedances must be identical. Hot probes are small filaments that can be heated to emit electrons. These electrons, which have very low energies corresponding to the KT of the filament, cannot leave the probe as long as Vp − Vs is positive. As soon as Vp − Vs goes negative, however, the thermionic current leaves the probe, and the probe current is dominated by this rather than by the ion current. Where the I – V curve crosses the x axis, therefore, is a good measure of Vs. The voltage applied to the filament to heat it can be eliminated by turning it off and taking the probe data before the filament cools. One can also heat the probe by bombarding it with ions at a very large negative Vp, and then switching this voltage off before the measurement. In general it is tricky to make hot probes small enough. For further information on these techniques and on behavior of Langmuir probes in RF plasmas, the reader is referred to the chapter by Hershkowitz5 . XIII. OTHER LOCAL DIAGNOSTICS 1. Magnetic probes a) Principle of operation. Fluctuating RF magnetic fields inside the plasma can be measured with a magnetic probe, which is a small coil of wire, perhaps 2 mm in diameter, covered with glass or ceramic so as to protect it from direct exposure to charged particles. Part A794 Fig. 30. A magnetic probe with a balun transformer. When the coil is placed in a time-varying magnetic field B, an electric field is induced along the wire according to Faraday’s Law: /d dt∇× = −E B . (32) Integrating this over the surface enclosed by the coil with the help of Stokes’ theorem to convert the surface integral to a line integral, we obtain ( ) inddS dS d V⋅ ≡ Φ = − ∇× ⋅ = − ⋅ ≡ − ∫ ∫ ∫B E E! ! " . (33) Here the line integral is along the wire in the coil and Φ is the magnetic flux through the coil, which is ≈BA, where A is the area of the coil. The induced voltage Vind is measured by a high-impedance device like an oscilloscope. If there are N turns in the coil, the voltage will be N times higher; hence, indV NAB= − ! (34) The dot indicates the time-derivative and is the origin of the name “B-dot probe.” The minus sign indicates that the induced electric field is in the opposite direction to that obtained when the right-hand rule is applied to B. For a sinusoidal signal, B-dot is proportional to ωB, so that the probe is more sensitive to higher frequencies. To obtain B from the measured Vind, one can use a simple integrator consisting of a resistor and a capacitor to ground to obtain 1 indB V dt NA = − ∫ . (35) One only has to be sure that the RC time constant of the integrator is much longer than the period of the signal. b) Construction. The probe itself can be as simple as ten turns of thin wire wound on a core machined out of boron nitride. The coil can be placed inside a ceramic tube or a closed glass tube. Such a tube is necessarily larger than a Langmuir probe shaft and may disturb the plasma downstream from the source. If the axis of the coil is parallel to the tube, the component of B parallel to the shaft will be measured. If the coil axis is perpendicular to the shaft, one can change from Br to Bθ measurement by rotating the shaft by 90°. Sometimes three coils are mounted in the same shaft to measure all three B components at the same time. Plasma Diagnostics 95 V s G1 G2 G3 C Fig. 31. A gridded energy analyzer. The difficult part is to take the signal out through the probe shaft without engendering too much RF pickup. One way is to use a very thin rigid coax, which is then connected to the scope with a 50-Ω cable. The coil in this case can be a single turn formed from the center conductor looped around and soldered to the conducting shield. If the shaft has to traverse a long path through the plasma, a better way is to use a multi-turn coil to increase the signal voltage, and then bring the two ends of the coils through the shaft with a twisted pair of wires. Outside the plasma, the wires are connected to a balun (balanced-to-unbalanced) 1-to-1 transformer so that the signal can be carried to the scope with an unbalanced line. Such a probe is shown in Fig. 30. The transformer can also have a turns ratio that amplifies the signal voltage. With magnetic probes there is always the danger of capacitive pickup through the insulators. One can check this by rotating the probe 180°. The magnetic signal should be the same in magnitude but shifted 180° in phase, while the capacitive signal would be the same in both orientations. Whether or not the probe and leads should be shielded with slotted conductors is a matter of experimentation; the shield can help or actually make the pickup worse. 2. Energy analyzers Gridded energy analyzers are used to obtain better data for ion and electron energy distributions than can be obtained with Langmuir probes. However, these instruments are necessarily large—at least 1 cubic centimeter in volume—and will disturb the plasma downstream from them. A standard gridded analyzer has four grids: 1) a grounded or floating outer grid to isolate the analyzer from the plasma, 2) a grid with positive or negative potential to repel the unwanted species, 3) a solid collector with variable potential connected to the current measuring device, and 4) a suppressor grid in front of the collector to repel secondary electrons. In Fig. 31, s is the sheath edge. Grid G1, whether floating or grounded, will be negative with respect to the plasma, and therefore will repel all electrons except the most energetic ones. One cannot bias this grid positively, since it will then draw so much electron current that the plasma will be disturbed. It is sometime omitted in order to allow slower electrons to enter the analyzer. Grid G1 also serves to attenuate the flux of plasma into the analyzer so that the Debye length is not so short there that subsequent grid wires will be shielded out. In the space be- Part A796 Fig. 32. Energy analyzer with only one grid and a collector co p p erfo il Fig. 33. Construction of an RF current probe. hind Grid G1, there will be a distribution of ions which have been accelerated by the sheath but which still has the original relative energy distribution (unless it has been degraded by scattering off the grid wires). These are neutralized by electrons that have also come through G1. These electrons also have the original relative energy distribution, but they all have been decelerated by the sheath. Grid G2 is set positive to repel ions and negative to repel electrons. For example, to obtain fi(v), we would set G2 sufficiently negative (V2) to repel all the electrons. The ions will then be further accelerated toward the collector. This collecting plate C, at Vc, would collect all the ion current if it were at the same potential as V2. By biasing it more and more positive relative to V2, only the most energetic ions would be collected. The curve of I vs. Vc would then give fi(v) when it is differentiated. When ions strike the collector, secondary electrons can be emitted, and these will be accelerated away from the collector by the field between C and G2, leading to a false enhancement of the apparent ion current. To prevent this, Grid G3 is fixed at a small negative potential (about −2V) relative to C) so that these electrons are turned back. Variations to this standard configuration are also possible. In a plasma with RF fluctuations, energy analyzers would suffer from nonlinear averaging, just as Langmuir probes do. Because of their large size, and therefore stray capacitance, it would not be practical to drive the grids of an energy analyzer to follow changes in plasma potential at the RF frequency. However, one can design the circuitry to be fast enough to follow the RF and then record the oscillations in collected current as a function of time during each RF cycle. By selecting data from the same RF phase to perform the analysis, one can, in principle, obtain the true energy distribution. This technique cannot be used for Langmuir probes, because the currents there are so small that the required frequency response cannot be obtained. RF-sensitive energy analyzers have been made successfully by at least two groups; one such analyzer is shown in Fig. 32. 3. RF current probe Current probes, sometimes called Rogowski coils, are coils of wire wound on a toroidal coil form shaped like a Life Saver. Figure 33 shows such a coil. Current passing through the hole induces a magnetic field in the azimuthal direction, and this, in turn, induces a voltage in the turns of wire. The current driven through the wire is Return loop Faraday shield Plasma Diagnostics 97 Fig. 34. Schematic of a POP [Shirakawa and Sugai, Jpn. J. Appl. Phys. 32, 5129 (1993)]. Fig. 35. Peak at ωp (at the right) moves as Prf is increased [ibid.]. then measured in an external circuit. The coil must take a return loop the long way around the torus to cancel the B-dot pickup that is induced by B-fields that thread the hole. Current probes are usually large and can be bought as attachments to an oscilloscope, but these are unsuitable for insertion into a plasma. The probe shown here is not only small (~1 cm diam) but is also made for RF frequencies. It is covered with a Faraday shield to reduce electrostatic pickup, and the windings are carefully calibrated so that the B-dot and E-dot signals are small compared with the J-dot signal. An example of a J-dot measurement was shown in Fig A6-17. 4. Plasma oscillation probe When used in a plasma processing reactor, Langmuir probes tend to get covered with insulating coatings so that they can no longer properly measure dc current. A plasma oscillation probe avoids this by measuring only ac signals, which can pass capacitively through the coatings. A filament, like a hot probe (Fig. 34), is heated to emission and biased to ~100V negatively to send an electron beam into the plasma. Such a beam excites plasma waves near ωp. These highfrequency oscillations are picked up by a probe and observed on a spectrum analyzer. If a peak in the response can be detected (Fig. 35), it will likely be near ω = ωp, and this gives the plasma density. Various spurious effects, such as multiple peaks or surface waves, can cause the resonant ω to differ from ωp, but when the signal is clear, a good estimate of n can be obtained. Overview of Plasma Processing in Microelectronics Fabrication 99 0.35µ (1,200,000) 35mm2 0.25µ (9,000,000) 78mm2 0.18µ (37,000,000) 120mm2 Am486 AMD-K6-2 AMD-Athlon Courtesy of AMD (SDC Director John Caffal) 0.35µ (1,200,000) 35mm2 0.25µ (9,000,000) 78mm2 0.18µ (37,000,000) 120mm2 Am486 AMD-K6-2 AMD-Athlon 0.35µ (1,200,000) 35mm2 0.25µ (9,000,000) 78mm2 0.18µ (37,000,000) 120mm2 Am486 AMD-K6-2 AMD-Athlon Courtesy of AMD (SDC Director John Caffal) Fig. 1. The evoluation of microprocessors shown with the device critical dimension and the packing density. Plasma TCP Coil Wafer Chamber Dielectric window Bottom Electrode Plasma sheath 13.56 MHz 13.56 MHz Gas inlet TCP Coil Dielectric window Fig. 2. A schematic diagram of a high density transformer coupled plasma (TCP) reactor. PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B1: OVERVIEW OF PLASMA PROCESSING IN MICROELECTRONICS FABRICATION I. PLASMA PROCESSING Plasma processing is the most widely used chemical process in microelectronic industry for thin film deposition and etching. Its application extends to surface cleaning and modification, flat panel display fabrication, plasma spary, plasma microdischarge and many other rapidly growing areas. The fundamental understanding of plasma processes is now sufficient that plasma models are emerging as tools for developing new plasma equipment and process, as well as diagnosing process difficulties. In addition, plasma diagnostics are now being implemented as process monitors, endpoint detectors, and process controllers to improve processing flexibility and reliability. Take plasma etching processes for example, high density plasma reactors have been developed to address the challenges in patterning features less than 0.25 µm with high aspect ratios (Fig. 1). The challenges include maintaining etching uniformity, etching selectivity, high etching rate, and reducing the substrate damage. Various high density plasma sources such as transformer coupled plasma (TCP) and electron cyclotron resonance (ECR) reactors have been developed to achieve high fidelity pattern transfer for manufacturing of ultra large scale integrated (ULSI) electronic devices (Fig. 2). In a transformer coupled plasma (TCP) reactor, a spiral planar inductive coil is mounted on a dielectric window on the reactor. Plasma is generated by coupling the oscillating radio frequency (rf) magnetic field (13.56 MHz) inductively. Plasma sheath, a dark space between plasma and the electrodes, is developed due to the different mobility of electrons and ions. The bottom electrode can be powered by a separate rf source to control the ion bombardment energy. The energetic ions and reactive neutrals produced are highly reactive, thereby facilitating surface (and/or gas phase) reactions with lower activation energies, and enhance greatly the reaction kinetics. In plasma processes for the fabrication of microelectronics, DC or rf glow discharges are used Part B1100 Photoresist UV Mask Substrate Thin film plasma plasma Thin film deposition Photoresist coating & development Thin film etching Photoresist ashing Photoresist UV Mask Substrate Thin film plasma plasma Thin film deposition Photoresist coating & development Thin film etching Photoresist ashing Fig. 3. Photolithography process flow. Table 1. Typical operating ranges of a glow discharge used in microelectronic fabrication. Property Range Pressure 0.001-10 torr Electron density Low density 109 -1011 cm-3 High density 1011 -1013 cm-3 Average electron energy 1-10 eV Average neutral/ion energy 0.025-0.05 eV Ionized fraction of gas Low density 10-7 -10-5 High density 10-3 -10-1 Neutral diffusivity 20-20,000 cm2 /s Free radical density 5-90% Power dissipation 0.1-10 W/cm2 to etch, deposit, sputter, or otherwise alter the wafer surfaces. These plasmas produce highly reactive neutrals and ions at low temperatures by the introduction of energy into the plasma through its free electrons that in turn collide with the neutral gas molecules. II. APPLICATIONS IN MICROELECTRONICS Plasmas are used in several major microelectronics processes: sputtering, plasmaenhanced chemical vapor deposition (PECVD), plasma etching, ashing, implantation, and surface cleaning/modification, each is described below and a few process steps are shown schematically in Fig. 3: Deposition: - Semiconductor (silicon) - Metal (aluminum, copper, alloys) - Dielectric (silicon dioxide, silicon nitride, metal oxides, low-k dielectrics) Etching: - Semiconductor (silicon) - Metal (aluminum, copper, alloys) - Dielectric (silicon dioxide, silicon nitride, metal oxides, low-k dielectrics) Ashing: - Photoresist removal - Photoresist trimming Implantation: - Dopant ion implant (B+, P+, As+ …etc.) Surface Cleaning / Modification: - Contamination removal - Modification of surface termination In each the plasma is used as a source of ions and/or reactive neutrals, and is sustained in a reactor so as to control the flux of neutrals and ions to a surface. The typical ranges of properties for a glow discharge used in microelectronic fabrication are as shown in Table 1. In sputtering processes (Fig. 4), ions are extracted from a plasma, accelerated by an electric field, and impinge upon a target electrode composed of the material to be deposited. The bombarding ions dissipate their energy by sputtering processes in which the surface atoms are ejected primarily by momentum transfer in collision cascades. The ejected atoms are deposited upon wafers that are placed within line-of-sight of the target electrode, thus facilitating the vapor transport of material Overview of Plasma Processing in Microelectronics Fabrication 101 Tasolid + Ar+ → Tagas → Tafilm Ta Si Ar Tasolid + Ar+ → Tagas → Tafilm Ta Si Ar Fig. 4. Sputtering deposition process feature Silicon sheath Si E ee- e- e- e- e- } + + + + + + + + −− ++→+ eHCOHHOCSieHOCSi 42352452 )()()( OHCOHHOCSiOHHOCSiO 422252352 )()()()( +→+ −− +→+ eOeO 22 feature Silicon sheath SiSi E ee- e- e- e- e- } ++ ++ ++ ++ ++ ++ ++ ++ −− ++→+ eHCOHHOCSieHOCSi 42352452 )()()( OHCOHHOCSiOHHOCSiO 422252352 )()()()( +→+ −− +→+ eOeO 22 Fig. 5. A plasma-enhanced chemical vapor deposition process. without appreciably heating either the target electrode or the wafer on which the film is deposited. Plasma enhanced chemical vapor deposition uses a discharge to reduce the temperature at which films can be deposited from gaseous reactants through the creation of free radicals and other excited species that react at lower temperatures within the gas-phase and on the surface (Fig. 5). The quality of the deposited film often can be improved by the use of the plasma ion flux to clean the surface before the deposition begins and by heating during processing. In addition, the ion flux can alter the film during deposition by cleaning, enhancing the mobility of adsorbed species, etc. In plasma etching, as shown in Fig. 6, the plasma produces both highly reactive neutrals (e.g., atomic chlorine) and ions that bombard the surface being etched. The neutrals react with the surface to produce volatile species that desorb and are pumped away. Ion bombardment often increases the etching rate by removing surface contaminants that block the etching or by directly enhancing the kinetics of the etching. Ultra large scale integration (ULSI) requires the etching of films with thickness comparable to their lateral feature dimensions. Directional plasma etching processes must be used to pattern such features to obtain the necessary fidelity of pattern transfer. Wet etching processes (which use aqueous acids or bases) and chemical based plasma etching processes are typically isotropic, and produce undercutting of the pattern at least equal to the film thickness. An ideal plasma etching process requires perfect pattern transfer by anisotropic (directional) etching of polysilicon (the portion not protected by the photoresist), and no etching of either photoresist or silicon dioxide upon ion bombardment (infinite selectivity). This typically requires highly directional ions and minimal spontaneous etching of polysilicon by reactive neutrals. In reality, many non-ideal factors including transport of reactive species into the feature and interactions of reactive species with the surface affect etched profiles. For example, ions undergo collisions across the sheath, bear a finite angular distribution, and affect the etching anisotropy. As the aspect ratio (depth/width) of the feature Part B1102 bulk plasma mask poly-Si oxide sheath SiO2 E ee- e- e- e- e- } + SiCl4 + + + + + + + e _ Cl+ Cl Cl2 SiCl2 + ↑→+ + 44 SiClClSi Cl bulk plasma mask poly-Si oxide sheath SiO2SiO2 E ee- e- e- e- e- } ++ SiCl4 ++ ++ ++ ++ ++ ++ ++ e _ Cl+ Cl Cl2 SiCl2 ++ ↑→+ + 44 SiClClSi Cl Fig. 6. Chlorine ion-enhanced etching of photoresist patterned polysilicon. The major reactive species in plasma include energetic chlorine ions (Cl+ ) and reactive neutrals (Cl, Cl2, SiCl2). Silicon sheath Si E ee- e- e- e- e- } + + + + + + + + −− +→+ eOeO 22 OHCOOsist 22 +→+Re Silicon sheath SiSiSi E ee- e- e- e- e- } ++ ++ ++ ++ ++ ++ ++ ++ −− +→+ eOeO 22 OHCOOsist 22 +→+Re Fig. 7. An oxygen plasma ashing photoresist process. increases, shadowing effect of the neutral species due to their non-zero reaction probabilities on the sidewall of the feature can cause concentration gradients within the feature and significantly alter the etching profiles and the etching uniformity. The etching products or by-products with high sticking probabilities can deposit on the surfaces within the feature and alter the profile evolution. Specifically, etching of photoresists or electron impact dissociation of the etching products (SiCl4) lead to the formation of carbonaceous contamination and SiCl2 that form passivation layers in the feature and prevent the sidewall from being etched. The balance between simultaneous etching and deposition controls the overall profile topography change during plasma etching processes. Moreover, shadowing of the isotropic electrons and positive charging on the silicon dioxide surface in etching high aspect ratio (width/depth) features can build up an electrical field on the oxide surface to distort the ion trajectory. These etching phenomena are highly convoluted and a thorough understanding of the fundamental mechanisms by which the etching anisotropy is achieved is required to develop rapid, directional, high resolution and damage-free etching processes. Photoresist ashing is typically done with an oxygen plasma, as shown in Fig. 7. Resist trimming allows finer feature definition and will be detailed in the Epilogue. Other processes including ion implantation, surface modification, and surface preparation will be discussed in the later part of this course. Major References for Part B 1. H. H. Sawin, Plasma processing for microelectronic fabrication, Lecture notes, MIT (1996). 2. B. Chapman, Glow discharge processes, Wiley (1980). 3. M. A. Lieberman and A. J. Lichtenberg, Principles of plasma discharges and materials processing, Wiley (1994). 4. J. R. Hollahan and A. T. Bell, Fundamentals of plasma chemistry: Techniques and applications of plasma chemistry, Wiley (1974). 5. J. F. O’Hanlon, A User’s guide to vacuum technology, Wiley (1989). Kinetic Theory and Collisions 103 dx dy dz dx dvx (a) (b) dx dy dz dx dy dz dx dvx (a) (b) Fig. 1. Differential volume for electron balance: (a) three-dimensional x-y-z phase space, (b) one-dimensional x-vx phase space. The solid arrows indicate particles entering the differential volume, while the dotted arrows indicate particles leaving the differential volume. PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B2: KINETIC THEORY AND COLLISIONS I. KINETIC THEORY The particle velocity distribution is critical in plasma science, and can be derived from the Boltzmann transport equation. The transport properties of the electrons and the ions can be derived once their velocity distributions are determined. As described below, the electron-velocity distribution is a function of the strength of the applied electric field that sustains the plasma, and the collisions of neutral and charged species within the plasma. The electron-velocity distribution function, ( ), ,ef tr v , represents the concentration of electrons at a particular position in space, r , that have a velocity vector, v , at a given time, t (Fig. 1). Thus, the number of electrons at a position r and t is ( , ) en t f d ∞ −∞ = ∫r v (1) where dv represents a triple integration over the three coordinates of velocity space. The average value of a property, ( , )φ r v , of the electrons can be calculated as en f dφ φ ∞ −∞ < >= ∫ v (2) For example, the mean velocity ( , )tv r is: _ e - 1 < v >= v= f d n ∞ ∞ ∫ v v (3) and the particle flux is: ( , ) et n f d ∞ −∞ Γ = = ∫r v v v (4) We will learn how to determine these terms very soon. The Boltzmann transport equation is an electron balance over a differential volume of the real and velocity spaces, defined by d dr v : e e r e v e c f f f f t t ∂ ∂  + ⋅∇ + ⋅∇ =   ∂ ∂  v a (5) The first term represents the unsteady-state fluctuations in the element, i.e., the change in the number Part B2104 of electrons within the volume that have a certain velocity. The second term represents the convective flux of electrons in space due to the net number of electrons lost or gained by movement of electrons to and from the volume. The third term is the convective flux of electrons from the volume caused by their coupling to the electric field, due to their acceleration by the electric field. If there is only an electric field, we can write a as e m E . The right-handside term accounts for the transfer of electrons to and from the differential volume by collisions. The collisions can be quantified as: ( )0 2 sine e T e T R c c f d f f f f v d t π σ π θ θ ∂  ′ ′= −  ∂  ∫ ∫v (6) where electron from distribution fe has a velocity v and a target particle T from distribution fT has a velocity of vT. After the collision, the particles are scattered to the primed velocities in the primed distributions. Note that vR is the relative velocity (=|vvT|), σc is the collision cross-section, and θ is the scattering angle. The product fefT is associated with the particles before collision and e Tf f′ ′ are the distributions after a collision has occurred. The collision event, thus, can either remove electrons from a given differential volume or promote them by the reverse process. To solve the Boltzman equation and obtain the electron energy distribution function (EEDF), the electron distribution can be broken into isotropic and anisotropic terms, as shown in equation (7). The isotropic term i ef represents all the electrons that have random direction and a velocity distribution that is invariant with direction. The anisotropic term a ef represents those electrons that have a favored direction. It is largest when v is in the direction of the gradient and smallest when v is perpendicular to the gradient. i a e ef f v = + ⋅ v ef (7) Assuming that there is only an electric field, we can substitute this expression for fe into the Boltzmann transport equation and get the following two equa- tions: Kinetic Theory and Collisions 105 (8) ( )2 2 3 3 i i i i a ae e e e r cc elastic inelastic f f f fe v t mv v t t t      ∂ ∂ ∂ ∂∂ + ∇ ⋅ − = + +      ∂ ∂ ∂ ∂ ∂      v E e ef f a i a a a i e r e cc elastic inelastic fe v f t m v t t t      ∂ ∂ ∂ ∂ ∂ + ∇ − = + +      ∂ ∂ ∂ ∂ ∂      Ee e e ef f f f (9) Here the collisional term has been expanded to the right-hand-side terms that represent the coulombic, elastic, and inelastic collision loss terms. The coulombic interaction term is significant only when the fraction of ionization within the plasma is greater than 10-5 . The elastic collisions between the electrons and the neutrals lead to a general heating of the neutrals, but result in little energy exchange due to the large difference in particle masses. They account for a significant randomization of electron angular velocity distribution, and therefore greatly reduce the anisotropic nature of the electron velocity distribution. The inelastic collisions account for very little of the transport properties of the electrons. They do, however, have a major effect on the distribution of the electron velocities, and on the physical and chemical properties of the plasma. It is the inelastic collisions that are responsible for the generation of excited species and ions. The detailed forms of these collisional terms can be found in the reference by Hollahan and Bell. The solutions to these two equations are complex, therefore proper assumptions are often used to obtain much simpler solutions for analysis. First, we assume that an alternating electric field, i t oE e ω− , is imposed on a homogeneous plasma. From equation (9), we further assume that the coulombic and inelastic collisions are not important, the elastic collision term is a m eν− f , and the time dependence of a ef is given by i t e ω− . Note that ( )m mNv vν σ= is the momentum transfer frequency for electrons and ω is the frequency of the field. The anisotropic term in the distribution function can then be derived to be: ( ) i a e m fe m i vν ω ∂ = − ∂ E ef (10) Introduce equation (10) into (8), assume that the isotropic term may be considered time invariant for frequencies greater than the relaxation time of the Part B2106 electron energy by elastic collisions, and neglect the spatial variation, the isotropic term of the distribution function can thus be calculated, and is found to be of the same form as that under a dc field with an effective amplitude Ee given by ( )22 2 2 ων ν + = m m oe EE (11) Often in calculating the collisional kinetics of a plasma used in microelectronics fabrication, only the isotropic distribution i ef is considered. Since the elastic collisions of electrons with the neutrals are very frequent, they sufficiently randomize the field induced directionality of the electrons so that the anisotropic term can be neglected. Case (a): If the sinusoidal amplitude Eo is 0, and inelastic collisions can be neglected, the isotropic term of the Boltzmann distribution function reduces to 0 i i i e e e c f fkT vf m v t  ∂ ∂ + = =  ∂ ∂  (12) and the solution becomes a Maxwell-Boltzmann distribution (MBD): 2 2 mv i kT ef Ce − = (13) where the constant C can be determined by the normalization condition. Under these conditions the electrons are in equilibrium with the gas molecules and can be characterized by T, the gas temperature. We will expand our discussion on MBD later. Case (b): If the electric field strength is low, few electrons will suffer inelastic collisions, since the energy transition for inelastic excitation processes will exceed the energy of most electrons. The Boltzmann equation for this condition after one integration becomes: 2 2 2 2 = 0 3 i ie e e m f e E M kT mvf v m ν  ∂ + +  ∂   (14) and its solution becomes the Margenau distribution: 2 2 2 2 exp 3 v i e eo m mvdv f C e E M kT m ν      = −   +    ∫ (15) Kinetic Theory and Collisions 107 where the constant C can be determined by the normalization condition. Case (c): When the elastic collision losses become dominant compared to the thermal energy exchange with the gas, the applied field is sufficiently large so that 2 2 2 2 3 e m e E M kT m ν ! , and the field oscillation frequency is much less than that of the collision frequency ( 2 2 2 21 , i.e., 2 e ov E Eω =" ), the solution of the Margenau distribution can be approximated as: 2 2 2 2 exp 6 v i e oo m mvdv f C e E M m ν      = −       ∫ (16) For certain gases such as helium and hydrogen, the elastic collision cross-section varies approximately as the reciprocal of the electron velocity, thus νm is independent of the electron velocity. Then the Margenau distribution reduces to the MaxwellBoltznann distribution: 2 2 2 2 2 2exp 6 i e o m mv f C e E M m ν      = −       (17) Comparing equation (17) to (13), we obtain an electron temperature defined as: 22 22 6 m o e m MEe kT ν = (18) Since the collision frequency νm is proportional to the density of gas particles with which the electrons collide, it is proportional to the pressure, p. The electron energy, KT, is, therefore a function of the electric field to pressure ratio, Eo/p. It should be remembered that in this model, the energy losses due to inelastic collisions are assumed to be less than those due to elastic collisions. This solution is only applicable to very low Eo/p where the electron temperature is low and few inelastic collisions oc- cur. Case (d): If the collision cross-section is as- Part B2108 Fig. 2. Comparison of Maxwellian and Druyvesteyn energy distribution functions sumed to be independent of the electron velocity, the collision frequency is proportional to the velocity and the Margenau distribution becomes the Druyvesteyn distribution of the following form: 22 2 2 2 2 2 exp 6 i e o m mv f C e E M mN σ         = −        (19) Here the collision frequency νm has been expanded as the product of the neutral density, the velocity, and the collision cross-section Nvσm. Note that the Druyvesteyn distribution varies as 4 av e− , and again the dependence upon Eo/p is obvious. In comparison, in the Maxwellian and the Margenau distributions, the high energy tail decreases as the exponential to the negative second power of electron velocity, while the Druyvesteyn distribution declines as the exponential of the negative fourth power. Thus, the Druyvesteyn distribution predicts fewer high energy electrons that can produce ions upon collision, as shown in Fig. 2. In both the Margenau and the Druyvesteyn distributions, the exponent's argument contains the ratio of the effective electric field to the pressure of the neutrals within the plasma, the ratio Eo/p can be used to characterize the electron distribution function. Thus the average electron energy is also a function of Eo/p. For example, if the pressure of the plasma is increased by a factor of two, a similar electron distribution function can be maintained by increasing the electric field by two. As stated earlier, the Maxwellian and Druyvesteyn distributions are reasonable solutions for the electron energy distributions for low Eo/p where the inelastic collisions can be neglected, however, these conditions are not valid for steady-state discharges used in processing. To sustain the discharge, sufficient ionization (inelastic collision) must occur to balance the loss to surfaces. Numerical methods have been used to compute the electron energy distribution functions considering inelastic collision as random two-body coulombic collisions. Shown in Fig. 3 is the energy distributions for a hydrogen plasma computed by numerically solving the Boltzmann equation for different extents of ionization, Kinetic Theory and Collisions 109 Fig. 3: Effect of the extent of ionization on the energy distribution function for a hydrogen plasma; E/p = 28.3 V/cm-torr (H. Dreicer). Fig. 4: Variation of average electron energy with the extent of ionization for a hydrogen discharge (H. Dreicer). n/N, as a constant Eo/p=28.3 V/cm-torr. As the ionization increases, the distribution becomes more Maxwellian-like. This is a result of the increased electron-electron scattering making the energy exchange within the electron population rapid and thereby forming an electron gas like distribution and reducing the importance of the accelerating fields on the electrons. The effect of electron interactions on the average energy of the plasma is shown in Fig. 4. The electron temperature is quite invariant with the power (i.e., plasma density) for plasmas of sufficient densities, but is largely a function of Eo/p. In conclusion, due to inelastic collisions, the energy typically is not proportional to the square of the Eo/p as suggested by Margenau and Druyvesteyn equations, but increases with a power varying between 0.5 to 1. II. PRACTICAL GAS KINETIC MODELS AND MACROSCOPIC PROPERTIES A low pressure gas (≤ 1 atmosphere) can be modeled using Maxwell-Boltzmann kinetics with deviations of less than a few percent from experimental data for most properties. The gas model assumes that: a) The gas is composed of rigid spheres that have vanishingly small volumes. b) There are no long range interactions between spheres. c) All gas-gas collisions are elastic. d) The spheres have no rotational or vibrational energy. The hard sphere model utilizes the concept of a collision diameter, do. The collision diameter can be thought of as the distance between the centers of two hard spheres during a collision, as shown in Fig. 5; do for a molecule is typically about 3 Å. The collision diameter of two dissimilar molecules is the mean of the collision diameters of the molecules. In reality, there are interactions between molecules for distances greater than their collision diameter; however, these forces are relatively short range and can be neglected if the gas has a low number density (i.e., at low pressures). Note here that we can also derive several particle conservation equations from Boltzmann trans- Part B2110 do v1 v2 do v1 v2 Fig. 5. Illustration of molecular interactions. port equation, including Continuity equation: ( ) ion en nν∇⋅ =v (20) Momentum conservation equation: mmn qn p mn t ν ∂ = −∇ − ∂ v E v (21) Energy conservation equation: 3 3 2 2 c p p t ∂    ∇⋅ =    ∂    v (22) Boltzmann relation: ( ) ( ) e eV KT e on n e= r r (23) 1. Maxwell-Boltzmann Distribution (MBD) The number of gas particles with a given speed is related to the energy content of the gas, and to the rate at which they collide with each other and with surfaces. A quantitative knowledge of this distribution is needed to calculate properties of the gas and chemical reaction rates. Classical thermodynamics for the kinetic gas model outlined in the previous section dictates a Maxwell-Boltzmann distribution function for the translational speeds of the gas particles. This function can be obtained by a maximization of the entropy (disorder) of a system that contains a given amount of energy. This is equivalent to saying that the system relaxes to a state of maximum disorder for a given quantity of energy. For this probability distribution, the normalized number of particles per unit volume with speed v is 3 22 2 ( ) 4 exp 2 2 M Mv P v v KT KT π π    = −      (24) where K is Boltzmann's constant, T is the temperature of the gas, and M is the mass of the gas particles. A plot of the Maxwell Boltzmann distribution is shown in Fig. 6. This distribution is sometimes referred to as the Boltzmann distribution or the system can be said to be Maxwellian. The most probable (mp), mean (m), and root-mean-square (rms) velocities are shown in Fig. 6: 2 mp KT v M = (25) Kinetic Theory and Collisions 111 0 200 400 600 800 1000 1200 2vm vrms vm vmp Probability Molecular Speed (m/s) Fig. 6. A schematic of the MBD function x y z x y z Fig. 7: Cartesian coordinates for illustrating gas particle motions. __ 8 ( )m o KT v v vP v dv Mπ ∞ = = =∫ (26) 1 2 2 3 ( )rms o KT v v P v dv M ∞   = =    ∫ (27) 2. A Simplified Gas Model (SGM) This model's assumptions are the same as those described above, but rather than using the Maxwell-Boltzmann distribution function, a simplified function is used. The simplified distribution assumes that all gas particles have identical speeds where _ 8KT v Mπ = (28) It is also assumed that one sixth of the gas particles travel along each of the Cartesian axes, as shown in Fig. 7. For argon at 20°C, the average thermal velocity is 4×104 cm/s. It should be noted that by using the Maxwell-Boltzmann distribution in terms of the speed rather than velocity, we have avoided the integration of a vector quantity over a three dimensional velocity space; this allows us to quickly estimate the plasma properties though the results differ slightly to that obtained with MBD (note the results are within the same order of magnitude). We will use both MBD and SGM to determine some basic properties of the plasma and compare their differences. 3. Energy Content The energy content of the gas in both models is assumed to be entirely associated with the translational energy of the gas particles (i.e., we neglected rotational, vibrational, and electronic energy). In SGM, since the particles are all going at the same speed, they have equal energies: ______ 2 4 Energy 2 mv KT π = = (29) Using the MBD, we get ______ 2 2 0 ( ) 3 2 2 2 mv P v dvmv KT ∞ = = ∫ (30) Part B2112 L = v ∆tL = v ∆t Fig. 8. The volume swept by a molecule equals tspeeddo ∆)(2 π . 4. Collision Rate Between Molecules The collision rate between molecules determines the rate at which energy can be transferred within a gas, and the rate at which chemical reactions can take place. To derive the frequency of collisions between gas molecules in SGM, consider a molecule going in the +z direction. In the simplified model, it will have no collision with any other molecules going in the +z direction, since they are all going at the same speed. It will have a closing speed of 2 relative to that of the n/6 molecules going in the -z direction, and a speed of v2 relative to the 4n/6 molecules going in the ±x and ±y directions. Therefore, during a given period of time, the molecule sweeps out a cylindrical volume using do as the diameter and with a length equal to the relative speed multiplied by the time. The molecule will suffer a collision if its center comes within a distance do of another molecule's center (Fig. 8). The number of collisions per unit time is thus, the swept volume multiplied by the number of molecules per unit vol- ume: The number of collision is: _ _ 2 2 _ 2 2 2 4 2 2 6 6 8 8 o o o o o n n d v d v KT n d v n d pd m mKT ν π π π ξ π ξ π ξ π = + = = = (31) where 276.12 3 2 3 1 =+=ξ (ideal gas law)p nKT= (32) Here the ideal gas law has been used to formulate the number of collisions as function of pressure. Using the MBD, the constant ξ is evaluated as 2 , yielding: 2 4 opd mKT π ν = (33) For argon at 20°C and 1 torr of pressure, the collision frequency is 6.7 × 106 s-1 . 5. Mean Free Path The mean free path, λ, is the average distance traveled by a gas molecule between collisions. It is a determining factor in the rate at which a gas particle can diffuse within a gas. For a given time increment, a molecule travels a distance equal to its Kinetic Theory and Collisions 113 Fig. 9. Random motion and mean free path. speed multiplied by the elapsed time. During this time it suffers the number of collisions determined by the previous derivation of the collision frequency. Thus, the mean free path, λ, in SGM is _ _ 2 1 c o v t v KT t n p d λ ν ν ξ σ ξ π ∆ = = = = ∆ (34) Using the MBD, a very similar form is obtained: 2 2 o KT p d λ π = (35) For argon at 20°C and 1 torr, the mean free path is 6 × 10-3 cm or 60 microns. To calculate the probability, P(x), that a molecule will travel a distance x without suffering a collision, we can relate the change in the probability, dP(x), to the probability that it will suffer a collision in the next increment of distance, dx. Thus, the probability that a collision will occur in the distance between x and x + dx is the product of the probability that it will have reached x without a collision, the number of collisions per unit length, and the incremental distance dx: ( ) ( ) dx dP x P x λ = − (36) Integrating the above equation, we find that the probability of not suffering a collision decays exponentially with increasing x:       −= λ x xP exp)( (37) Since the mean free path is a function of the ratio of average gas molecule velocity to the collision crosssection of the molecule, _ 1 c v n λ ν ξ σ = = (38) substituting for λ in the probability expression yields ( )xnxP xσξ−= exp)( (39) For example, the probability that a molecule travels one mean free path without suffering a collision is the exp(-1), or 37%, while the probability that a molecule travels three mean free path without suffering a collision is the exp(-3), or 5%. Part B2114 Fig. 10. Fluxes to a surface. 6. Flux of Gas Particles on a Surface The flux of gas molecules striking a surface determines the maximum rate at which the gas can react with the surface, assuming unity sticking probability -- every particle that strikes sticks to the surface. The term flux refers to the number of molecules that impinge on a unit surface area in a unit time increment (Fig. 10). Since all the molecules have speed _ v in SGM, the "effective" volume of gas that can strike the surface within time t is Volume = _ v t (unit area) This is an effective volume because only a fraction (n/6) of the molecules in the gas are traveling in the correct direction, i.e., towards the surface. The number of molecules striking a unit area in time t is the effective volume multiplied by n/6, so that the flux on the surface is _ 8 Flux 6 6 nv n KT mπ = = (40) Using the MBD, the flux is calculated by integrating over the velocity component perpendicular to the surface, yielding _ Flux 4 nv = (41) Note that the Boltzmann distribution gives a factor of 1/4 rather than 1/6. For argon at 20°C and 1 torr, the number flux is 3 × 1020 molecules/cm2 . To put this number in perspective, a monolayer contains approximately 1015 molecules/cm2 , so that about 1 monolayer equivalent molecules strike the surface per second at 10-6 torr. If the sticking coefficient of these molecules is unity, one monolayer of material will be deposit at 10-6 torr every second! 7. Gas Pressure The pressure exerted by a gas on a surface can be calculated from the change of momentum that the gas molecules undergo during collisions with the surface. For a system in thermodynamic equilibrium, the gas leaving the surface must have the same speed (and velocity) distribution as that strikes the surface. Pressure is the force per unit area or the change in the gas momentum per unit area per unit time, as shown in Fig. 11: Kinetic Theory and Collisions 115 Fig. 11. Pressure due to the impulse times the rate of collision with the wall. Force (Momentum change/molecule)Flux Pressure = = Area Area In SGM, each molecule striking the surface undergoes an average momentum change of 2m _ v . The pressure, p, for the simplified model is then the product of the number flux calculated above and the momentum change per collision, _ _ 2 6 nv p mv= (42) substituting for _ v gives 8 3 p nKT π = (43) Using the MBD with proper integration: 0 2 ( )p mvP v dv nKT ∞ = Γ =∫ (44) which is the ideal gas law. Using the ideal gas law, the number density, n, of argon at 20°C and 1 torr is 3.3 × 1016 molecules/cm3 . To be more accurate, the van der Waals equation of state takes into account the repulsive forces at work at short distances which tends to reduce V and the attractive forces at longer distances that tends to decrease p: 2 2 nRT n a p V nb V = − − (a, b are constants) ( ) 2 2 n p a V nb nRT V   + − =    (45) There are a number of different pressure units used in vacuum calculations, and the following conversion relations contain the commonly used units: 1 atmosphere = 760 mmHg = 760 torr = 105 Pascal 1 bar = 105 Pascals 1 mtorr=1×10-3 torr = 1 µm Hg = 1 micron 1 Pascal = 9.8 kg/m-s2 = 1 N/m2 = 7.6 mtorr 8. Transport Properties The flux of heat, mass, and momentum are considered the transport properties of a medium. These fluxes are generally calculated using the thermal conductivity kT, the mass diffusivity D, and Part B2116 the viscosity η. The form of the flux equations is shown below. Heat Flux = dz dT kq Tz −= (temperature gradient) Mass Flux = i i z dn M j D dz = − (conc. gradient) Momentum Flux = y z dv p dz η= (velocity gradient) These coefficients can be calculated using the MBD, yielding: 3 _ λvnc k v T = ! proportional to 2 1 T 1 2 M − o p ( ) 12 − odπ _ 3 v D λ = ! proportional to 2 3 T 1 2 M − 1− p ( ) 12 − odπ _ 3 nM vλ η = ! proportional to 2 1 T 1 2 M o p ( ) 12 − odπ where cv is the heat capacity at constant volume. Typical values of gas diffusivity are 0.2 and 150 cm2 /s at 1 atm and 1 torr, respectively. The diffusion of mixtures requires appropriate mixing rules. It should be noted that mass diffusivity is inversely proportional to pressure, so that the diffusivities at plasma processing pressures are very large (100- 10,000 cm2 /s). 9. Gas Flow The flow of gas must be considered when designing a pumping system for a plasma reactor. Pumping low pressure gases is surprisingly difficult, and large conduits are required to provide the necessary conductivity. The flow of gases in a conduit or chamber can be divided into three regimes, based on the ratio of the gas mean-free-path to the inner dimension of the enclosure (the Knudsen number): Kn = λ/L, as: a) Viscous flow or continuum regime: λ/L < 0.01 b) Transition regime: 0.01< λ/L <1 c) Molecular flow or rarefied regime: λ/L >1 Table 1 summaries the mean free path of gas molecules at various pressures and the corresponding flow regimes. In the viscous regime the collisions between gas molecules dominate in determin- Kinetic Theory and Collisions 117 Table 1. Mean free path of gas molecules at various pressures. p(torr) 10-4 10-3 10-2 10-1 1 λ (cm) 50 5 0.5 .05 .005 λ / L 1 0.1 .01 .001 .0001 Flow R T T V V R = Rarefied flow T = Transition flow V = Viscous flow Fig. 12. Computer simulation of 150 particles move in a pipe (molecular flow). ing the flow characteristics. A no-slip boundary condition exists at any surface, meaning that the velocity of the gas is zero at the surface. For most situations in vacuum systems, the flow is laminar, and has flow properties similar to that of molasses. This is an artifact of the independence of gas viscosity with respect to pressure. The fluid flow characteristics are dependent upon the Reynolds number which is ρvD/η, where ρ is the density per unit volume. A Reynolds number of less than 2000 in a circular conduit indicates laminar flow. At higher pressures and high velocities, the flow can become turbulent. In the molecular flow regime, any sort of continuum model for the flow behavior of the gas breaks down; the majority of the gas collisions occur with the walls of the conduit, not between gas molecules. In this regime the pressure does not influence the flow characteristics, as shown in Fig. 12. These flow regimes as a function of the gas density are summarized in Fig. 13. The flow characteristics in the transition regime are not fully understood. This makes the analysis of plasma processing challenging since most of the plasma processes used in microelectronics processing are performed in this regime. The flow of a gas through a conduit is usually characterized as a conductance, analogous to the conductance in an electrical circuit. The conductance, C, is the ratio of the flow rate in units of pressure-volume/time (Q) and the pressure difference: 1 2 Q C p p = − (46) Note that the conductance has units of volume/time. As in the electronic circuits, the overall conductivity of a system can be calculated using overall 1 2 3 1 1 1 1 ..... C C C C = + + + (47) Use a circular tube with a length much greater than its diameter as an example, the conductivity for air in it in the rarefied regime is given by 3 long tube 12.2 D C L = (48) In the continuum regime, the conductivity is dependent upon the pressure and can be estimated as Part B2118 Fig. 13. Effective limits of major gas flow regimes, do= 3.7×10-10 m. (G. A. Bird, 1976). Fig. 14. Molecular transmission probability, a, of a short tube as a function of the tube length to radius. 4 1 2 long tube ( ) 128 2 p pD C L π η + = (49) The calculation of flows in the transition regime can be bracketed by calculating for both the rarefied and the viscous flows; i.e., the transition flow is between these two limits. The conductance of many common conduits encountered in vacuum systems are given in the handbook on vacuum technology by Hanlon. For example, Fig. 14 shows the molecular transmission probability, a, of a short tube as a function of the tube length to radius, and the conductance of a such tube can be calculated as: 2 short tube 4 av R C π = (50) while Fig. 15 shows the molecular transmission probability of a round pipe with entrance and exit apertures. These plots are extremely useful in determining the conductance of a plasma reactor with its pumping elements for design optimization. The pumping speed of a vacuum pump is typically rated in terms pumping speed, S, where Q S p = (51) The pumping speed has units identical to those of conductance; it is sometimes given as a function of pressure, but is often relatively constant over the normal working range (Fig. 16). The residence time in the system is therefore: V pV pV S pS Q τ = = = (52) For example, a gas flow of 5 sccm (standard cubic centimeters per minute) is introduced into a chamber that is pumped by a roughing pump with a pumping speed of 400 l/min through a 2 m long circular tube which is 1 inch in diameter. If the gas flow is assumed to be air, we can calculate the upper limit of the pressure by assuming that the flow is in the rarefied regime to be 0.7 Torr. With the development of computational fluid dynamic models and software (CFD), the gas velocity and pressure profiles can be calculated quite accurately given the plasma reactor geometry, operat- Kinetic Theory and Collisions 119 Fig. 15. Molecular transmission probability of a round pipe with entrance and exit apertures. P (pressure) V (volume) S (pumping speed) Q (Gas throughput) P (pressure) V (volume) S (pumping speed) Q (Gas throughput) Fig. 16. Schematic of a gas pumping system. Fig. 17: Schematic of the pressure gradient in a plasma reactor. ing conditions, and the pumping speed of the system. Fig. 17 shows a schematic of the pressure gradient in a plasma reactor, with one gas injection port, multiple layers of gas distribution rings (showerhead design), and a pumping port. III. COLLISION DYNAMICS 1. Collision cross sections The collision cross-section, σc, is an averaged value that is proportional to the probability that a certain type of collisional process will occur, and is a function of the closing velocities of the particles. At times it will also be a function of the angle between incident particle trajectory and a line connecting the centers of the particles as they collide; in this case, integrating over all possible collision configurations will yield the correct cross-section. As shown in Fig. 18, particles incident with impact parameters between h and h+dh will be scattered through angles between θ and θ+dθ. With central forces, there must be complete symmetry around the central axis so that: 2 ( , ) 2 sinh dh I v dπ θ π θ θ⋅ = − ⋅ ⋅ (53) The differential cross section, ( , )I v θ , is the proportionality constant and is derived as: ( ), sin h dh I v d θ θ θ = (54) therefore the total scattering cross-section, σs, is: ( )0 2 , sins I v d π σ π θ θ θ= ∫ (55) and the momentum transfer cross-section, σm, is: ( ) ( )∫ −= π θθθθπσ 0 sin,cos12 dvIm (56) To solve for the complex scattering trajectories, we can convert the scattering angles from the laboratory frame (Fig. 19) into the center-of-mass (CM) coordinates (Fig. 20), and relate the scattering angles θ1 and θ2 to the scattering angle φ in the CM frame and the two particles masses: m1 and m2: 2 1 1 cos sin tan m m + = φ φ θ (57) φ φ θ cos1 sin tan 2 − = (58) Part B2120 dh h dθ θ dh h dθ θ Fig. 18: Schematic illustration of the differential cross-section. h θ1m1 m2 θ2 v1 v2 = 0 v1’ v2’ h θ1m1 m2 θ2 v1 v2 = 0 v1’ v2’ Fig. 19. The relation between the scattering angles in the laboratory frame. h φmR vR Fixed center vR’ h r(t)h φmR vR Fixed center vR’ h r(t) Fig. 20. The relation between the scattering angles in the center of mass (CM) frame. h p// = mRvR Fixed center r(t) ∆ p ( )U r r ∂ − ∂ h p// = mRvR Fixed center r(t) ∆ p∆ p ( )U r r ∂ − ∂ Fig. 21. Small angle scattering with momentum impuse, ⊥∆P , illustrated. For electron colliding with ions or neutrals, since 1 2 1 << m m , the reduced mass 1 2 1 1 2 ( )R e m m m m m m m = ≈ + , therefore: φθ ≈1 For equal mass particle collision, 1 2/ 1m m = and 1 1 2 Rm m≈ , so 2 1 φ θ ≈ For small angle scattering, we can use the momentum impulse analysis, ⊥∆P , to solve for the collision cross sections and scattering angles (Fig. 21). Using momentum balance and assume the central force is of a universal form: ( ) i r C rU = (59) Following Smirnov’s derivation (1981), we found the scattering angle to be: i R A W h φ = (60) where 2 ½R R RW m v= is the kinetic energy of the center-of-mass, and the parameter A relates to the Γ function with a constant C:       + Γ       + Γ = 2 2 2 1 2 i i C A π (61) The differential collision cross-section is thus: ( ) i i R R W A i vI 2 2 2 11 , +       = φ φ (62) From equation (62), we can then derive the collision cross-sections and collision frequency or reaction rates. For different potential energies, we can determine the dependencies on the relative velocity, as shown in Table 2. 2. Energy Transfer Consider the simplest scattering of two spheres as representing particle-particle scattering. Choose a frame of reference that is fixed with respect to the more massive sphere, m2. The lighter Kinetic Theory and Collisions 121 Table 2. Dependence of collision cross sections and collision frequency (rate constant K) on velocity U(r) σ v or K Coulombic 1/r 1/vR 4 1/vR 3 Perm. dipole 1/r2 1/vR 2 1/vR Induced dipole 1/r4 1/vR const. Hard sphere 1/ri→∞ const. vR m1 m2 v1 v2 = 0 v1’ v2’ θ m1 m2 v1 v2 = 0 v1’ v2’ θ Fig. 22. Illustration of a collision process. sphere, m1, is moving with speed v1 towards m2. As shown in Fig. 22, θ is the angle between the incident sphere and the line between the centers of the spheres as they collide. After the collision the spheres leave with velocities 1v′ and 2v′ . During the collision process both energy and momentum must be conserved. The momentum conservation can be broken into two components, one parallel with the collision centerline and another perpendicular to the centerline and falling in the plane defined by the two lines. Momentum is also conserved in the direction perpendicular to both the centerline and the plane, but since the velocity components in this direction are always zero, it will be ignored. Parallel Momentum: 1 1 1 1// 2 2cosm v m v m vθ ′ ′= + Perpendicular Momentum: ⊥= 1111 sin vmvm θ Energy: 2 2 2 1 1 1 1 1 2 1 1 1 2 2 2 m v m v m v′ ′= + Notice that due to the choice of the centerline as a coordinate, the incident particle does not change its velocity in the perpendicular direction. If Et is the energy transferred from the incident sphere to the fixed sphere, and Ei is the initial energy of the incident sphere, the fractional energy transferred is given by ( ) 2 2 2 21 2 22 2 1 2 1 1 1 42 cos 1 2 t i m vE m m E m mm v ζ θ ′ = = = + (63) ! ( ) ( )1 2 1 2 2 1 cos m m m m ζ φ= − + (64) If the masses of the spheres are the same, the fractional energy transferred is 1 1 (1 cos ) 2 2 i tm m ς φ= → = − ≈ at low scattering angles. This expression would apply for the scattering of ideal-like gas molecules. Note that the fractional energy transfer is unity for head-on collisions in this case. In electron-neutral scattering, the masses are very different and the energy transfer approaches zero in elastic collision: 42 10i t m m m M ς − >> → ≈ ≈ Part B2122 3. Inelastic Collisions In the collision process, energy can be transferred from kinetic energy to internal energy if at least one of the particles is complex enough to permit such internal energy states. Atomic gases can undergo electronic transitions where at least one of the outer electrons is promoted into a higher energy state. Diatomic or more complex molecules have rotational and vibrational states that can be excited by inelastic collisions. The energy separation of these states increases in order of rotational, vibrational, and electronic excitations. The possibility of internal energy transfer can be incorporated into the elastic model described above by the inclusion of a ∆U term in the energy conservation relation, where ∆U is the gain in internal energy of the molecule that was struck: Uumumvm ttiiii ∆++= 222 2 1 2 1 2 1 (65) The amount of energy transferred upon collision is now not a unique function of the geometry of the collision and the masses of the spheres. It is a continuous function, 2 )( 2 1 cos tti i t itt umm m m vumU +−=∆ θ (66) The maximum energy that can be transferred in an inelastic collision is θ22 max cos 2 1 i it it v mm mm U       + =∆ (67) For a collision of equal masses, this reduces to θ2 2 max cos 4 i it mv Umm =∆→= Note that the above energy is equal to one half of the incident particle's kinetic energy in the direction parallel to the centerline. For the collision of unequal masses, the fraction transferred approaches that of the total kinetic energy in the parallel direction: θ2 2 max cos 2 ii it vm Umm =∆→> (68) It should be remembered that these processes are reversible, i.e., internal energy can be transferred into kinetic energy during a collision. In practice, the spectrum of possible internal energy states is not continuous. Complex molecules Kinetic Theory and Collisions 123 have a more continuous spectrum, however, since more combinations of rotational, vibrational, and electronic states are allowed, a larger number of closely spaced states would appear as continuous. There are a large number of possible processes that can occur upon collision. They include electron impact ionization, excitation, relaxation, dissociation, electron attachment, and ion-neutral collisions. Each of the processes can be quantified with a crosssectional area that is proportional to the rate at which the collisional process takes place. Gas-phase reactions, which are often consequences of these inelastic collisions, are discussed in the following section. Atomic Collisions and Spectra 125 PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang Part B3: ATOMIC COLLISIONS AND SPECTRA I. ATOMIC ENERGY LEVELS Atoms and molecules emit electromagnetic radiation or photons when the electrons or nuclei undergo transitions between various energy levels of the atomic or molecular system. Detailed theory of radiation requires quantum electrodynamics to fully describe the interaction between materials and electromagnetic radiation, so it will not be detailed in this lecture. However, a small set of rules will be discussed to allow the study of the basic physics of radiation. First we will consider the interaction between electrons in the bound states of atoms and electromagnetic radiation. Atoms emit electromagnetic radiation or photons when their bound electrons undergo transitions between various energy levels of atomic system. Each atomic system has its unique energy levels determined by the electromagnetic interaction among various bound electrons and nucleus. Calculation of atomic energy levels requires solving a Schrodinger equation for a many particle system (nucleus and electrons) and there is no exact solution available except for the simplest atomic system, i.e., the hydrogen atom. Many approximation methods were developed to calculate the atomic energy levels, currently, the energy levels of many atomic systems are identified and tabulated in the form of Grotrian diagram. One way to designate the various energy levels in the Grotrian diagram is called LS (also known as Russell-Saunders) coupling. However, it should be noted that the LS coupling scheme does not necessarily specify each energy level uniquely, thus one should be careful about using LS coupling scheme. According to the LS coupling scheme, each state is denoted by its orbital angular momentum and the spin state along with each electron’s configuration state. For example, the ground state neutral helium described by LS coupling scheme is (1s)2 1 S0. (1s)2 denotes that two electrons occupy the 1s state, and 1 S0 is the spectroscopy term where the superscript 1 denotes the net spin state is singlet, S denotes that Part B3126 Fig. 1. Atomic energy levels for the central field model of an atom (e.g., Na). eee Fig. 2. An electron – atom elastic collision. the total orbital angular momentum is 0, and the subscript 0 denotes that the total angular momentum is 0 (J=L+S=0). Another example: the ground state neutral carbon and oxygen described by LS coupling scheme are (1s)2 (2s)2 (2p)2 3 P0 and (1s)2 (2s)2 (2p)4 3 P2. Here we have 2 electrons in the 1s state, 2 electrons in the 2s state, and 2 or 4 electrons in the 2p state. In addition, the net spin state is triplet (superscript 3), the orbital angular momentum state is P (angular momentum quantum number is 1) and the total angular momentum state is 0 or 2 (for less than half filled orbital, J=L-S=0; for half filled orbital or more than half-filled orbital, J=L+S= 1 + 1 =2). In summary, the designation of atomic energy levels can be done using the spectroscopic designation of an atomic state: 2 1S JX I n L+ where X is the element symbol, I is the ionization state (I: not ionized, II singly ionized, II: doubly ionized, etc.), n is the principal quantum number, 2S+1 is the multiplicity (S=0: singlet; S=½: doublet; S=1: triplet, etc), L is the total orbital angular momentum (S, P, D, F, G for L = 0, 1,2,3,4), and J = L + S is the total electronic angular momentum. The atomic energy levels of Na is shown in Fig. 1 as an example. II. ATOMIC COLLISIONS In a homogeneous plasma, energetic electrons undergo collision with the neutrals to generate excited neutrals, atoms, free radicals, ions, and additional electrons. These electron collision processes make the plasma chemistry complex and interesting. Due to the large mass difference, the electron-particle collision can be viewed as an elastic collision process, as shown in Fig. 2. Several other electron-atom collision processes are listed: 1. Excitation processes a) Electron impact ionization (Fig. 3): Atomic Collisions and Spectra 127 e e e ee ee ee Fig. 3. Electron impact ionization. e e ee ee Fig. 4. Electron impact excitation. e e e e Fig. 5. Electron impact dissociation. e e e * ee ee ee * Fig. 6. Electron metastable ionization. e− + A ! e− + e− + A+ Electrons with sufficient energy can remove an electron from an atom and produce one extra electron and an ion. This extra electron can again be accelerated to gain enough energy and ionize another atom. This multiplication process leads to a continuous generation of ionized species and the plasma is sustained. The ionization processes generally have the highest energy barriers, on the order of 10 eV. b) Electron impact excitation (Fig. 4): e− + A ! e− + A* Electrons with sufficient energy can also excite the electrons of an atom from the lower energy level to a higher energy level. This process produces an excited neutral species whose chemical reactivity towards the surface could be quite different from the ground state atoms. The threshold energy needed to produce excited species can vary greatly, depending on the molecule and the type of excitation. Some excited atoms have very long lifetimes (~ 1-10 msec) because the selection rules forbid its relaxation to the ground state. These excited atoms are thus called metastables. All noble gases have metastable states. c) Electron impact dissociation of diatomic molecules (A2) (Fig. 5): e− + A2 ! e− + A + A Electrons with sufficient energy can also break the chemical bonds of a molecule and produce atomic species. These atomic species could gain enough energy and be at a higher energy level than the ground state atoms. Dissociative processes usually have lower threshold energies than ionization processes. Dissociative threshold energies vary from 0 to above 10 eV, depending upon the strength of the bond that is broken and the mechanism by which the process occurs. This process is mostly responsible for the production of chemically active radicals in most of the plasmas. d) Electron metastable ionization (Fig. 6): Part B3128 e * ee * Fig. 7. Penning Ionization. Fig. 8. De-excitation. eee Fig. 9. Three-body recombination. eee Fig. 10. Radiative recombination (3-body process). e− + A* ! e− + e− + A+ Electrons with sufficient energy can also remove an electron from a metastable atom and produce one extra electron and an ion. Since the metastable atom is already excited, less energy is required here to ionize the atom. e) Metastable-neutral ionization (Fig. 7): A* + B ! A + e− + B+ Metastable atom can collide with a neutral and ionize it if the ionization energy of the neutral (B) is less than the excitation energy of the metastable (A*). This is also called the Penning Ionization process. 2. Relaxation and Recombination Processes a) De-excitation (Fig. 8): A* ! A + hν The excited states of atoms are usually unstable and the electron configuration can soon return to its original ground sate, accompanied by the emission of a photon with a specific energy that equals the energy difference between the two quantum levels. b) Electron-ion recombination (Fig. 9): e− + A+ + A ! A* + A For electron-ion recombination, a third-body must be involved to conserve the energy and momentum conservation. Abundant neutral species or reactor walls are ideal third-bodies. This recombination process typically results in excited neutrals. c) Radiative recombination (Fig. 10): e− + A+ ! A + hν Photon can also be generated during the coalescence process of recombination. This is also a three-body recombination process, since the two-body coalescence is highly unlikely from the standpoint of energy and momentum conservations. d) Electron attachment (Fig. 11): e− + A ! A− Atomic Collisions and Spectra 129 eee Fig. 11. Electron attachment. _ + _ + _ + Fig. 12. Ion-ion recombination. Electron can attach to an electronegative atom to form a negative ion, for example, a halogen atom or an oxygen atom. Complex gas molecules such as SF6 can also undergo dissociative attachment to form negative 5SF− ions. This could also be a three-body recombination process. e) Ion-ion recombination (Fig. 12): A+ + A− ! A + A With negative ions generated, positive ions and negative ions can collide with finite (usually small) probabilities. In ion-ion recombination, one electron transfers and two neutrals are formed. III. ELASTIC COLLISIONS 1. Coulomb collisions In general, collisions between ion-ion, ionelectron, and electron-electron are all Coulombic collision. The coulomb potential is: ( ) r qq rU 0 21 4πε = (1) Following the derivation above, the differential collision cross-section can be determined to be: ( ) 2 2 2 0 2 sin4 ,             = φ φ b vI R (2) Note: this is the Rutherford Back Scattering (RBS) cross-section where bo is the distance of the closest approach. 2 1 2 1 2 0 20 0 14 4 2 R R R q q Z Z e b W m v πε πε = =       (3) From this analysis, Coulombic scattering could lead to a single large-angle scattering (less likely) or cause a series of small-angle scatterings. 2. Polarization scattering With a point charge, qo, approaches an atom whose atomic radius is a with a point positive charge of q and a uniform negative charge cloud -q, Part B3130 r a qo qdr a qo qd Fig. 13. Polarization of an atom (atomic radius a) by a point charge qo. Table 1. Relative polarizability. Species αR H 4.5 C 12 N 7.5 O 5.4 Ar 11 CCl4 69 CF4 19 CO 13 CO2 17 Cl2 31 H2O 9.8 NH3 14.8 O2 10.6 SF6 30 the point charge can polarize the atom by displacing the uniform charge cloud through quasistatic interactions. The induced electric field due to a small displacement, d, around the center of the atom is: 3 04 ind qd E aπε = − (4) The induced dipole is therefore: 3 2 o ind q a P qd r = = (5) The attractive potential due to the incoming charge qo is: ( ) 2 3 4 08 oq a U r rπε = − (6) The polarizability in this simple atomic model is: 3 ap =α , and the relative polarizability is: 3 0a p R α α ≡ (7) Table 1 summarizes the relative polarizability of several atomic species. Note again that ao is the Bohr radius. If the impact parameter, h is small enough, i.e., smaller than the critical impact parameter, hL, the particle will be captured by the atom during this type of collision. This critical impact parameter is: 1 2 4 2 0 p o L R R q h v m α πε   =      (8) 21 21 mm mm mR + = (9) 21 vvvR −= (10) The Langevin or capture cross-section can thus be determined as: 2 L Lhσ π= (11) IV. INELASTIC COLLISIONS 1. Constraints on electronic transitions Atoms emit electromagnetic radiation (photons) when the electrons undergo transitions between various energy levels. Since the typically radiation time is on the order of 1 ns, much shorter than the characteristic time between collisions, Atomic Collisions and Spectra 131 Fig. 14. Atomic energy levels of He, showing the division into singlet and triplet states. which are on the order of 100 ns, the excited states will generally be de-excited by electric dipole radiation rather than by collision. However, not every transition occurs as frequently as others do. The most frequent transition between various energy levels is the electric dipole transition and the following conditions should be satisfied for the electric dipole transition. The general rule of thumb includes: Energy conservation: the energy of emitted radiation (photons) should be equal to the energy difference between the upper energy level and the lower energy level, hν = Ei − Ej, where h is the Planck's constant, ν is the frequency of the emitted photon, Ei is the energy of the upper level the electron occupies prior to the transition, and Ej is the energy of the lower level the electron occupies after the transition. Selection Rules: during the electric dipole transition, the following changes for angular momentum state need to occur: • Change in the orbital angular momentum state: ∆L = 0, ±l (0 is not allowed for a transition involving only one electron) • Change in the spin angular momentum state: ∆S = 0 • Change in the total angular momentum state: ∆J = 0, ± 1 (except that J=0 to J=0 transition is strictly forbidden) . From the selection rule, the energy levels of He, can be shown divided into singlet (para-helium) and triplet (ortho-helium) states, since the transitions between them are forbidden. Since L=0 ! L=0 is forbidden, the 21 S and 23 S states are metastables (Fig. 14). A more detailed Grotrian diagram is included at the end of this section. It is noted that the selection rules are not perfect, unlike energy conservation. For example, the very intense mercury resonance line at 253.7 nm is due to the transition from 3 P1 ! 1 S0. If the above two conditions are satisfied, the electrons can spontaneously undergo transition from the upper energy level, i, to the lower energy level, j, with a certain probability per unit time. This Part B3132 350 400 450 500 550 600 650 700 750 667.8nmHeI2 1 P-3 1 D 706.5nmHeI2 3 P-3 3 S 587.5nmHeI2 3 P-3 3 D 501.6nmHeI2 1 P-3 1 P 447.1nmHeI2 3 P-4 3 D 402.6nmHeI2 3 P-5 3 D Intensity Wavelength (nm) Fig. 15. Emission from a He Plasma. probability is called the transition probability for spontaneous emission (also known as Einstein A coefficient) and can be easily found in the literature for many transitions. For example, the transition probability of hydrogen atom between 2p state and 1s state is 6.28 × 108 sec-1 . 2. Identification of atomic spectra Based on the above discussion, we can now understand the essential features of atomic spectrum and obtain some useful information about the plasma system. As shown in Fig. 15, atomic spectrum usually consists of a number of very sharp lines on the constant background. When the spectrum is measured, the first task is to identify every emission line in the spectrum. This is done by comparing the wavelength of the emission lines with the energy differences between two electronic levels using the published spectral database (NIST database). It is noted that in some cases even this first step is not very straightforward and requires additional consideration. Once this step is completed, we can have at least two (maybe more) very useful information about the plasma. They are: • Identification of existing atomic species in the plasma. • Identification of certain excited atomic states and their density in the plasma. Later, we will learn how to use the information to understand the plasma state. Light emission is a major characteristic of plasmas. To emit the light, the atoms in the plasma have to be in the excited states. There are two different ways to excite the atoms in the plasma to the excited states. The first one is to use the kinetic energy of the particles in the plasma (in particular electrons) and to transfer this energy to the atoms in the ground state (or another excited state) by collision. This process is called collisional excitation. The second process is to use the energy of the photons and to transfer their energy to the atoms by absorption of photons. This process is called radiative excitation. In most plasma systems, the frequency of the radiative excitation is much smaller than the collisional excitation, thus can be neglected. Note again that it is not very easy to excite the Atomic Collisions and Spectra 133 Fig. 16. Ionization cross-section of noble gases. Fig. 17. Excitation cross-section of electrons in hydrogen. . ground state electron in the atom to an excited state. The energy required for this excitation is fairly large. For example, in hydrogen atom, a minimum energy of 10.2 eV is required to move the electron from the ground state (1s) to the lowest excited state (2p) from which atom can emit the photons. An ionization process requires more energy than the excitation process (for example, the ionization potential of hydrogen is 13.6 eV). The ionization cross-sections of several noble gases and the excitation corss-section for H are shown in Fig. 17 and Fig. 18 as examples. Once the atoms in the plasma are excited above the ground state, it will eventually be deexcited to the ground state. There are three different ways to de-excite the atoms in the plasma. The first one is the spontaneous emission when the electron in the excited level makes a transition to the ground level or another excited level without any external influence. As briefly mentioned earlier, the time scale for this de-excitation is very short if the transition is electric dipole transition, on the order of 10-8 sec to 10-7 sec. In this case, the energy conservation is satisfied by emitting the photon whose energy is equal to the energy difference between the initial state and the final state. In many plasma systems, this is the most important de-excitation mechanism. On the other hand, the electron in the excited level also makes a transition if there are other photons around the excited atoms. This process is called stimulated emission. Though the stimulated emission is the key element for the laser, in most plasma system, the stimulated emission can be neglected. The third process for the de-excitation is the inverse process of the collisional excitation and is called collisional de-excitation. In collisional de-excitation, the colliding particles will gain energy from the excited atoms into their kinetic energy. The importance of collisional de-excitation is a function of plasma density and electron temperature and it varies for various excited states. 3. A simplified model for emission To simplify the discussion, we will make a number of assumptions on our plasma system. 1. Plasma density (ne) is uniform throughout the volume. 2. Electron energy distribution is Maxwellian and Part B3134 Eo E1 E2 Ei R1 R2 A2o A1o A21 Eo E1 E2 Ei R1 R2 A2o A1o A21 Fig. 18. Energy diagram of an atom with limited energy levels. its temperature is given as Te. 3. Our plasmas are made of hypothetical atoms that have only 4 energy levels, ground state, first and second excited state and ionized state. 4. The rate of collisional de-excitations are small compared to the rate of spontaneous emission, thus will be neglected. 5. The system is in steady state. As shown in Fig. 18, Eo, E1, E2, and Ei are the ground state, excited state 1, excited state 2, and the ionized state. R1, and R2 are rate of collisional excitation from the ground state, and A1o, A2o, and A21 are rate of spontaneous emission (Note that they are also called Einstein A coefficient). R1, and R2 can be calculated using the collisional cross- sections: 1 0 1eR n n vσ= < > 2 0 2eR n n vσ= < > Remember <σv> is the collision rate averaged over the MBD. From these rates, the equations governing the density of each state can be determined: ( ) 202101210 0 AnAnvvnn dt dn e ++><+><−= σσ 10121210 1 AnAnvnn dt dn e −+><= σ ( )2021220 2 AAnvnn dt dn e +−><= σ In steady state, the time derivatives in the LHS are zero, and we have two independent equations with five unknowns (ne, n0, n1, n2, Te). From charge quasi-neutrality and particle conservation, we have one more equation: ge nnnnn =+++ 210 where ng is the gas density without the plasma. During spontaneous emission, the excited state 1 and 2 will emit photons at the following frequencies: 0110 EEh −=ν 0220 EEh −=ν 1221 EEh −=ν If we can measure the number of photons emitting Atomic Collisions and Spectra 135 at these frequencies, we can then determine the density of excited states (n1 and n2) independently: 10 1 10 # photons at volume time n A ν = ⋅ 20 2 20 # photons at volume time n A ν = ⋅ Now we have three unknowns (ne, n0, Te) for three equations: 010121210 =−+>< AnAnvnne σ ( ) 02021220 =+−>< AAnvnne σ ge nnnnn =+++ 210 Therefore ne, n0, and Te can be calculated. Unfortunately the situation in real systems is very different from this simplified model. Thus, the use of plasma spectroscopy alone may not provide the enough information about the plasma system that we want to know. However, we can still obtain some very valuable information on our plasma system from plasma spectroscopy. Part B3136 Fig. 19. He Grotrian Diagram Molecular Collisions and Spectra 137 v = 0 v = 1 v = 2 v = 3 v = 4 v = 5 v = 6 Repulsive state Bonding state ∆R R E v = 0 v = 1 v = 2 v = 3 v = 4 v = 5 v = 6 Repulsive state Bonding state ∆R v = 0 v = 1 v = 2 v = 3 v = 4 v = 5 v = 6 Repulsive state Bonding state v = 0 v = 1 v = 2 v = 3 v = 4 v = 5 v = 6 Repulsive state Bonding state v = 0 v = 1 v = 2 v = 3 v = 4 v = 5 v = 6 Repulsive state Bonding state ∆R R E Fig. 1. Electronic states of a molecule. v = 0 v = 1 v = 2 v = 3 v = 4 v = 5 v = 6 Zero-point energy v = 0 v = 1 v = 2 v = 3 v = 4 v = 5 v = 6 Zero-point energy Fig. 2. Vibrational energy levels. PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B4: MOLECULAR COLLISIONS AND SPECTRA Like atoms, molecules emit photons when they undergo transitions between various energy levels. However, in molecules, additional modes of motion are possible. They are rotation and vibration of molecules. Note that little energy is coupled through vibrational and rotational states as these processes are inefficient. This poor coupling can be thought of as a momentum limitation; i.e., the low mass, high velocity electron cannot excite these states in which momentum must be transferred to an atom. In a typical electron excitation of rotational states, a single quantum is transferred. As a quantum for rotational states are of the order of 1 millieV, little energy is transferred. In electron impact excitation of vibrational states, again typically only a single quantum is transferred. The vibrational quanta energy is of the order of 0.1 eV. An exception is the vibrational excitation of molecules in which the electron attaches to form a negative ion which is short lived. The negative ion has a different interatomic spacing, therefore, when the electron subsequently leaves, the molecule finds itself with a bond length that differs from the neutral state. The bond acts as a spring converting the energy into many quanta of vibrational energy. A typical chemical bond is of the order of 4-5 eV; therefore in the discharges used in microelectronics processing, the excitation of rotational and vibrational states are typically not significant. Nevertheless, the energy levels of molecules are further complicated due to these additional modes of motion. I. MOLECULAR ENERGY LEVELS The molecular energy level can be represented by: eE = eEe + eEv +eEJ , where eEe is the electronic energy level eEv is the vibrational energy level = 1 2 hv v   +    eEJ = eEr is the rotational energy level = I h JJ 2 2 8 )1( π + Note that v is the vibrational quantum number, and J is the rotational quantum number. 1. Electronic energy level For diatomic molecules, the electronic states are specified by the total orbital angular momentum, Λ, along Part B4138 J = 0 J = 1 J = 2 J = 3 J = 4 2 Bv 4 Bv 6 Bv 8 Bv J = 0 J = 1 J = 2 J = 3 J = 4 2 Bv 4 Bv 6 Bv 8 Bv Fig. 3. Rotational energy levels. 0 5 10 J” 0 5 10 J” 0 5 10 J” 0 5 10 J” 0 5 10 J” 0 5 10 J’ 0 5 10 J’ 0 5 10 J’ 0 5 10 J’ 0 5 10 J’ X A v” v’ 0 1 2 3 4 5 6 0 1 2 3 4 5 6 0 5 10 J” 0 5 10 J” 0 5 10 J” 0 5 10 J” 0 5 10 J” 0 5 10 J” 0 5 10 J” 0 5 10 J” 0 5 10 J” 0 5 10 J” 0 5 10 J’ 0 5 10 J’ 0 5 10 J’ 0 5 10 J’ 0 5 10 J’ 0 5 10 J’ 0 5 10 J’ 0 5 10 J’ 0 5 10 J’ 0 5 10 J’ X A v” v’ 0 1 2 3 4 5 6 0 1 2 3 4 5 6 Fig. 4. Vibrational and rotational energy levels. the internuclear axis; and for Λ = 0, 1, 2, 3, symbols Σ, Π, ∆, Φ are used. Note that all but the Σ states are doubly degenerated. The total electron spin angular momentum S is used to determined the multiplicity, 2S+1, and is written as a prefixed superscript, as for the atomic states. Analogous to the atomic LS coupling for atoms, another quantum number denoted as Ω=Λ+Σ is used as a subscript. Note that the allowed values of Σ are S, S-1, S- 2, …., -S. To complete the description for molecular spectroscopic terms, note that g (gerade or even) or u (ungerade or odd) subscripts denote whether the wavefunction is symmetric or antisymmetric upon inversion with respect to its nucleus. Superscripts of + and – are used to denote whether the wave function is symmetric or antisymmetric with respect to a refection plane through the internuclear axis. So, the spectroscopic designation of a molecular state is: )( )( 12 −+ Ω + Λ ug S For example, the ground state of H2 and N2 are singlets, 1 Σg + , while the ground state of O2 is a triplet, 3 Σg - . A typical set of states in a diatomic molecule is in Fig. 1: the lower curve is the ground electronic state in which the lowest energy is indicated by the x axis. In the lowest state, the molecule vibrates with the interatomic distance varying over ∆R. Also indicated are the next few higher vibrational states and their interatomic ranges. The rotational states can also be added to the vibrational states. The upper curve is an excited state that is repulsive. Note that an excited state can also be bonding with a minimum energy. 2. Vibrational energy level For a harmonic oscillator, the vibrational frequency k m ∝ . For a diatomic molecule, the vibrational frequency Rm k ∝ , where mR is the reduced mass of the system. The vibrational energy level is eEv =       + 2 1 vhν . Therefore, the energy spacing is almost the same, but the spacing does decrease with increasing vibrational quantum number due to the anharmonic motion of the Molecular Collisions and Spectra 139 Fig. 5. HCl: (a) Details of allowed vibrational and rotational transitions, (b) spectrum lines, (c) intensity distribution. Fig. 6. Actual infrared absorption spectrum of HCl. The fine splitting is due to H35 Cl and H37 Cl isotopic shift. molecule (Fig. 2). Note that the lower energy level is typically labeled as " v and higher energy level is labeled as ' v , as shown later in Fig. 4. 3. Rotational energy level For a simple dumbbell model for diatomic molecules, the moment of inertia is 2 RI m r= . The rotational energy level is: 2 2 ( 1) ( 1) 8 J v h E J J B J J Iπ = + ≡ + Therefore, the energy spacing increases with increasing rational quantum number (Fig. 3). Again, the lower energy level is typically labeled as " J and higher energy level is labeled as ' J , as shown in Fig. 4, where the details of the allowed vibrational and rotational transitions, spectrum lines, and intensity distribution. Note that X denotes the ground state, while A represents an excited state. Figure 5 shows the theoretical infrared absorption spectrum of a diatomic molecule, HCl: (a) the allowed vibrational and rotational transitions, (b) the measured spectrum lines, and (c) the intensity distribution. Note that the P branch represents the transitions corresponding to ∆J=-1, while the R branch represents the transitions corresponding to ∆J=+1. The Q branch is missing since the transition of ∆J=0 is forbidden. The actual experimental result is shown in Fig. 6, while the fine splitting is due to the isotopic shift of H35 Cl and H37 Cl. II. SELECTION RULE FOR OPTICAL EMISSION OF MOLECULES For practical applications, the following (approximate) selection rules are given for molecular transitions: Change in orbital angular momentum: ∆Λ = ± 1 Change in spin angular momentum: ∆S = 0 The selection rule for ' v to " v is: ∆v = ± 1 The selection rule for ' J to " J is: ∆J = ± 1 In addition, for transitions between Σ states, the only allowed transitions are Σ+ !Σ+ and Σ– !Σ– ; and for homonuclear molecules, the only allowed transitions are g!u and u!g. Part B4140 Fig. 7. Frank-Condon or adiabatic transition. III. ELECTRON COLLISIONS WITH MOLECULES The interaction time of an ewith a molecule is: tc ~ 10-16 – 10-15 s The typical time for a molecule to vibrate is: tvib ~ 10-14 – 10-13 s The typical time for a molecule to dissociate is: tdiss ~ tvib ~ 10-14 – 10-13 s The typical transition time for electric dipole radiation is: τrad ~ 10-9 – 10-8 s The typical time between collision in a low pressure plasma is τc These time scales are: tc << tvib ~ tdiss << τrad << τc 1. Frank-Condon principle Since tc << tvib, electronic excitations are indicated by vertical transitions in Fig. 7 as the interatomic distance cannot change in the time scale of the excitation. Such a process is sometimes called a Frank-Condon or adiabatic transition. Since τrad >> tdiss,, if the energetics permit, the molecule will dissociate instead of de-exciting to the ground state. It should be noted that only certain energies can be adsorbed which correspond to the spacings indicated; however, the distribution in interatomic spacing as the molecules vibrate result in a broadening of the acceptable excitation energies. Photoelectron excitations occur in a manner similar to this; however they have an additional constraint of spin conservation. In electron impact, spin conservation is not important as the process can be treated as a three body event. Note that excited states can be short-lived or may be metastable. Various electronic levels have the same energy in the unbound limit ( ∞→R ). 2. Dissociation Shown in Fig. 8 are various processes which lead to dissociation in molecules. e+ AB ! A + B + eProcesses b-b' result in the excitation to a state in which Molecular Collisions and Spectra 141 Fig. 8. Dissociation processes. Fig. 9. Dissociative ionization and dissociative recombination processes. the excited molecule is not stable. This results in the production of A+B with the excess energy being converted into translational energy of the molecular fragments. Excitations to curve 2 with lower energies result in a bonded electronically excited state. Excitation to curve 3 which is repulsive is indicated by processes aa'. These excitation result in the production of A+B. Excitation c indicates the excitation to an excited state which is stable. This state can relax by the emission of a photon to curve 3 resulting in dissociation or by curve crossing to a repulsive state (curve 4) again resulting in dissociation. Note in the latter process, B* is produced in an electronically excited state. 3. Dissociative ionization Figure 9 are processes associated with ionization and dissociative ionization. e+ AB ! AB+ + 2eNote that curve 2 represents a stable molecular ion state (AB+ ). This state can undergo dissociative recombination to produce fast and excited neutral fragments. e+ AB ! A + B+ + 2eA repulsive ion state, curve 4 is also shown which always results in fragmentation after ionization. 4. Dissociative recombination The electron collision illustrated in Fig. 9 as d and d’ represents the capture of the electron leading to the dissociation of the molecule. Thus, this process is called dissociative recombination. e+ AB+ ! A + B* 5. Dissociative electron attachment Depending upon the dissociation energy and the electron affinity of B, the dissociative electron attachment can be categorized into autodetachment, dissociative detachment, electron dissociative attachment, and polar dissociation: e+ AB ! AB- e+ AB ! AB! A + B- e+ AB ! A+ + B+ eFigure 10 shows a number of examples of electron attachment processes for molecules: (a) the excitation to a repulsive state requires electron energies greater than the threshold energy, (b) the attachment requires little electron energy and can result in a stable negative ion or fragmentation, (c) the capture of a slow electron to a Part B4142 Fig. 10. Electron attachment processes illustrating the capture of electron into: (a) a repulsive state, (b) an attractive state, (c) repulsive state (with slow electrons), and (4) polar dissociation. repulsive state results in the formation of a negative ion that is a fragment. (d) the excitation to a neutral excited state with sufficient energy that a positive and negative ion are simultaneously formed, i.e., polar dissociation. 6. Electron impact detachment Electron-negative ion collision can result in the detachment of the electron to yield a neutral and one additional electron. Here the electron affinity of the negative ion plays an important role. e+ AB! AB + e+ e- 7. Vibrational and rotational excitation Electrons with sufficient energy can excite molecules into higher vibrational and rotational energy levels. This is typically a two-step process, where electron is first captured (a negative ion forms) and then detached to generate a vibrationally excited molecule. e+ AB (v=0) ! AB- AB! AB (v>0) + eIV. HEAVY PARTICLE COLLISIONS The collisions between ion-ion, ion-neutral, and neutral-neutral are heavy particle collisions. These species all have much lower temperatures compared to the electrons, thus move much slower compared to the electrons. The important heavy particle collisions are: 1. Resonant and non-resonant charge transfer Resonant charge transfer is important in producing fast neutrals and slow ions, that would modify the overall chemical reactivity of plasma towards the surface. A+ + A ! A + A+ Non-resonant charge transfer can take place between unlike atoms/molecules or between an atom and a molecule. A+ + B ! A + B+ Figure 11 shows the non-resonant charge transfer between N+ and O, while several non-resonant charge transfer reactions between oxygen molecule and atom are important in an oxygen plasma. 2. Positive and negative ion recombination As discussed in Atomic Collisions and Spectra, ionion recombination is a type of charge transfer and can be the dominant mechanism for the loss of negative ions in a low pressure electronegative plasma. Molecular Collisions and Spectra 143 Fig. 11. Nonresonant charge transfer processes. Fig. 12. Associative detachment process: (a) ABground state above AB ground state, (b) ABground state below AB ground state. A+ B+ ! A + B* 3. Associative detachment The associative detachment process is shown in Fig. 12. Depending upon the energy level of AB, the dissociation process varies. A+ B ! AB + e- 4. Transfer of excitation As discussed in Atomic Collisions and Spectra, transfer of excitation can take place in the plasma, including the Penning ionization. A + B ! A+ + B + eA + B ! A* + B A + B* ! A+ + B* + e(Penning ionization) A + B* ! AB+ + eA + B* ! A* + B 5. Rearrangement of chemical bonds Chemical bond rearrangement can also take place in the plasma, making the composition more complex. AB+ + CD ! AC+ + BD AB+ + CD ! ABC+ + D AB + CD ! AC + BD AB + CD ! ABC + D 6. Three-body processes As discussed in Atomic Collisions and Spectra, three body collisions are important processes that conserve the energy and momentum, and allow complex chemical reactions to take place in the plasma gas phase. a) Electron-ion recombination e+ A+ ( +e) ! A + ( +e- ) b) Electron attachment e+ A ( +M ) ! A+ ( +M ) c) Association A+ + B ( +M ) ! AB+ + ( +M ) d) Positive-negative ion recombination A+ B+ ( +M ) ! AB + ( +M ) V. GAS PHASE KINETICS The unique chemical reactions that take place in a plasma are almost entirely caused by inelastic collisions between energetic electrons and neutrals of thermal Part B4144 Fig. 13. Simplified energy diagram for O2. Table 1. Threshold energy for oxygen excitation. Reactions Eth (eV) 1) O2+e¯ ! O2(v) + e¯, v=1..10 1.95 2) O2+e¯ ! O2(1 ∆g) + e¯ 0.98 3) O2+e¯ ! O2(b1 Σg + ) + e¯ 1.64 4) O2+e¯ ! O2(A3 Σu + ) + e¯ 4.50 5) O2+e¯ ! O2(*) + e¯ 6.00 6) O2+e¯ ! O2(**) + e¯ 8.00 7) O2+e¯ ! O2(***) + e¯ 9.70 8) O2+e¯ ! O2 + + 2 e¯ 12.20 9) O2+e¯ ! O + O + e¯ 6.00 10) O2+e¯ ! O¯ + O 3.60 energy. The inelastic scattering produces a host of excited states, which then relax and/or interact by collision between particles or by collisions with the walls of the reactor. An energy level diagram for oxygen, a diatomic molecule, is shown in Fig. 13 to illustrate the complexity of possible gas phase reactions in an oxygen plasma. The electron states of O2 , O2, and O2 + are shown. Only attractive states are shown in this simplified energy diagram, though repulsive state do exist. Several attractive states shown here are metastables, including 1 ∆g, 1 Σg + , and 3 ∆u states of O2. The threshold energy for oxygen excitation processes is shown in Table 1. A short list of the reactions that take place in an oxygen plasma is in Table 2 for an analysis: Reactions 1 and 2 involve the inelastic scattering of an electron with neutrals and are characterized by collision cross-sections, while reactions 3-5 are heavy particle collisions and are quantified in terms of rate coefficients. Table 2. Reactions in an oxygen glow discharge Reaction ki σ, cm2 1.Ionization: e¯ + O2 ! O2 + + 2e¯ 2.7x10-16 2.Dissociative attachment: e¯ + O2 ! O + O¯ 1.4x10-18 3.Charge transfer: O+ + O2 ! O2 + + O 2x10-11 cm3 /s 4.Detachment: O¯ + O ! O2 + e¯ 3x10-10 cm3 /s 5.Atom recombination: 2O + O2 ! 2O2 2.3x10-33 cm6 /s2 The cross-sections can be related to to an effective reaction rate coefficient by: 2 ( ) ( )i i o E k E f E dE m σ ∞ = ∫ (1) This equation represents an integration over all electron energies of the product of the electron velocity, the collision cross-section, and the electron-energy distribution function. The collision cross-section can be considered the probability that during a collision a certain reaction takes place. It has the units of area to be dimensionally correct; however, this area has only a vague interpretation in terms of the distance at which the particles must approach to react in a specific manner. The collision cross-section is a function of the energy of the electron in most cases. The energy dependence for a number of processes is shown in Fig. 14. The modeling of an oxygen discharge using MBD is reasonably successful in predicting rate constants for inelastic collisions with a threshold energy below that of the average electron energy. However, for predicting Molecular Collisions and Spectra 145 Fig. 14. Elastic and inelastic collision cross sections as a function of energy for electron impact reactions of O2. (A) elastic scattering, (B) rotational excitation (C) vibration (D) (E) (F) electronic excitations (I) dissociative attachment (J) ionization Fig. 15. Fractional power input to elastic and inelastic collisions as a function of Ee/p for oxygen. higher threshold events, such as ionization, such modeling gives poor results. The failure to model the high energy processes reflects the greater deviation from MBD in the high energy tail region, as the Druyvesteyn model suggests. For the high energy processes, the variation of collision cross-sections with energy and the effects of the electron-velocity distribution must be taken into account. In addition, the power dissipation in a plasma can be related to the various collisions and their energies, 2 m j j i d j m Power E k nN E k nN E k nN E k n M = + + +∑ (2) where E indicates the average excitation energy for each process, Ej is the energy loss for the jth process, km = νm/N is the rate constant for momentum transfer, kj is the rate constant for the jth inelastic process, ki is the ionization rate constant, and kd is the effective diffusion rate constant. Since the cross-sections for the processes vary with energy, plotting the fractional energy dissipated for rotational, vibrational, dissociation, and ionization processes reveals significant variation in the partitioning of power to different processes as a function of Ee/p. Figure 15 shows this partitioning of energy for an oxygen discharge. It should be noted that less than 1.5% of the power is lost in elastic collisions. Since oxygen plasma is widely used in the microelectronics industry to ash photoresist, we will use the production of oxygen atoms in a plasma as an example here. The major mechanisms contributing to oxygen atom generation and loss are listed below: 1a. e− + O2 → O2 * (A3 ∑ + u ) + e− → 2O(3 P) + e− 1b. e− + O2 → O2 * (B3 ∑ − u ) + e− → O(3 P) + O(1 D) + e− 2. 2O + O2→ 2O2 3. 3O → O + O2 4. O + 2O2 → O3 + O2 Assuming that the electron energy distribution is MBD, and the rate coefficients can be calculated for each of these reactions (k1 – k4). In addition, a surface recombination coefficient γ is used to account for atomic oxygen loss through interaction with the walls of the reactor. Assuming that the reactor design can be modeled as a plug flow in the tube, the differential mass balance for the reactor can be written as: Part B4146 Cross-section × dL = dV Flowrate = F Cross-section × dL = dV Flowrate = F Fig. 16. Plug flow reactor. Fig. 17. Electron density as a function of pressure. Fig. 18. Conversion and yield vs. pressure. 12 2 3 2 2 3 4 4 1 2 ( ) (2 ) 2 2 ( ) 2 2 ( ) o e o o o o Fn dn nv k n n n n n dV R k n n n k n k n n n γ= − + < > − − − − − − − (3) where no is the total number density of gas particles, n is the number density of atomic oxygen, F is the flow rate, V is the reactor volume, and v is the oxygen atom velocity. The left-hand-side term is the total rate at which atomic oxygen atoms within the differential volume dV are accumulated. The concentration can be determined by integrating V from the inlet of the tube, where the density and composition are known, to the exit of the tube. The first term on the right-hand-side is the rate of O loss by recombination on walls of the reactor to form O2. The following terms are the rate that atoms are created by electron impact reactions 1a and 1b, and the losses by reactions 2, 3, and 4, respectively. Note that is a function of p and Λ (the characteristic diffusion length of the system), as shown in Fig. 17. The above equation can be solved and compared with experimental results as shown in Fig. 18. Note that the total reaction yield (total amount of O produced), G, and conversion (fraction concerted to O), y, are defined as: 2 o n y n n = − (4) 6 7 10 yF G Power V ⋅ = (5) The decrease in conversion with pressure is a result of the reduction of the dissociation rate (reaction 1), since and k1 decrease with increasing pressure. The increased pressure also causes an increase in the homogeneous recombination rate, reactions 2-4, but these are minor losses at these low pressures. This model only predicts the plasma gas-phase concentration of atomic oxygen, and the calculation of ashing rates is more difficult in that it requires additions to the model for both more complex surface reactions and consideration of additional species in the plasma-phase. For each additional species in the plasma, an additional equation similar to that above must be considered and solved simultaneously. Information about a Cl2 plasma is shown in Table 3 and Fig. 19 and 20 as a reference and for the homework problems. Molecular Collisions and Spectra 147 Table 3. Gas-phase reaction mechanisms in a chlorine plasma. 1. The reaction threshold energy and constants of the rate constants are listed for comparison. 1/2 0 2 ( ) ( ) expB e e E C k f E E dE AT m T σ ∞  −  = =        ∫ ; units: k [cm3 s-1 ]; Te [eV] where A, B, C and the threshold energy are summarized below: Reaction A B C Ethreshold (1) e+ Cl2 ! e+ Cl2 2.18×10-2 -1.433 16 304.0 0.07 (2) e+ Cl2 ! Cl+ Cl 2.33×10-11 0.237 9163.8 (3) e+ Cl2 ! Cl + Cl + e- 2.11×10-9 0.232 54866.0 2.50 (4) e+ Cl2 ! e+ Cl2 9.47×10-11 0.445 113840.0 9.25 (5) e+ Cl2 ! Cl2 + + 2e- 1.02×10-10 0.641 150810.0 11.48 (6) e+ Cl! Cl + 2e- 1.74×10-10 0.575 48883.0 3.61 (7) e+ Cl ! e+ Cl* 2.35×10-5 -0.953 124040.0 9.00 (8) e+ Cl ! e+ Cl 1.53×10-9 0.183 113280.0 9.55 (9) e+ Cl ! e+ Cl 2.14×10-10 0.189 126890.0 10.85 (10) e+ Cl ! e+ Cl 6.35×10-11 0.187 148090.0 12.55 (11) e+ Cl ! e+ Cl 1.07×10-8 0.075 134280.0 11.65 (12) e+ Cl ! e+ Cl 5.47×10-9 0.073 141 400.0 12.45 (13) e+ Cl ! e+ Cl 3.70×10-9 0.053 146 370.0 12.75 (14) e+ Cl ! e+ Cl 2.00×10-7 -0.235 126 730.0 10.85 (15) e+ Cl ! e+ Cl 5.61×10-8 -0.241 143 350.0 12.15 (16) e+ Cl ! Cl+ + 2e- 5.09×10-10 0.457 155 900.0 13.01 (17) e+ Cl* ! Cl+ + 2e- 9.29×10-9 0.265 47 436.0 3.55 (18) Cl2 + + Cl! 2Cl + Cl 1.00×10-7 0.000 0.0 (19) Cl+ + Cl! Cl + Cl 1.00×10-7 0.000 0.0 (20) Cl+ + Cl2 ! Cl2 + + Cl 5.40×10-10 0.000 0.0 (21) Cl + Cl+ M ! Cl2 + M 3.47×10-33 0.000 -810.0 (22) Cl* + Cl2 ! 3Cl 5.00×10-10 0.000 0.0 M: Third body. 2. Important spectroscopic information for Cl2: (a) Electronic state: 1 ∑g + (b) Vibrational constant: 559.7 cm-1 (c) Vibrational anharmonicity: 2.68 cm-1 (d) Rotational constant: 0.2440 cm-1 (e) Rotation-vibration interaction constant: 0.0015 cm-1 (f) Centrifugal distortion constant: 0.186×10-6 cm-1 (g) Interatomic distance: 1.988 Å Part B4148 Figure 19: Elastic and inelastic collision cross sections as a function of energy for electron impact reactions of Cl2. 0.01 0.1 1 10 100 0.01 0.1 1 10 Ion Pair Formation Single ionization of ClNeutral Dissociation Total Ionization Total Dissociative Attachment Total Elastic Total Scattering CrossSection(10 -20 m 2 ) Electron energy (eV) Molecular Collisions and Spectra 149 Fig. 20: Potential Energy Diagram of Cl2. Plasma Diagnostics 151 Red shading Blue shading No shading Wavelength Red shading Blue shading No shading Wavelength Fig. 1. Signatures of molecular spectra. PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B5: PLASMA DIAGNOSTICS In order to understand the plasma chemistry, various plasma process diagnostic tools have been developed to quantify the concentrations of various reactive species in the plasma. The basic principles of their operation are described here with examples and their advantages/disadvantages. Keep in mind that a diagnostic technique is no more intelligent than the person who is using it, and it requires careful analysis of the measurement to obtain meaningful data. I. OPTICAL EMISSION SPECTROSCOPY 1. Optical Emission Optical emission from a plasma occurs primarily through the electron impact excitation of atoms or molecules to an excited state, followed by a relaxation to a lower energy state releasing a photon containing an energy equal to the difference between these two energy states. Analysis of the photon energy (wavelength of light) and spectral emission information of species can therefore be used to infer the composition of the species that produced it. Optical emission of atoms is reasonably straightforward, since only electronic state transitions can occur. Therefore atomic spectra have sharp, nearly monoenergetic, and well-defined peaks corresponding to transitions between various electronic states. Molecules, however, have a larger number of electronic states, and also have both vibrational and rotational states superimposed upon their electronic states. The small energy differences between the vibrational and rotational states, the broadening of emission energies caused by collisions, and movement of the emitting molecules cause the emission to overlap and form bands rather than sharp emission peaks at easily identified frequencies. Typically, a sharp bandhead is observed and can be used to identify the band, while red or blue shadings, as shown in Fig. 1, are due to the fact that the upper state is less or more tightly bounded than the lower states. Keep in mind that a bandhead or a shading is not always observed. At higher pressures, collisions broaden the emission energy, but such broadening is not usually observed in the low pressure discharges used in plasma processing. Other Part B5152 Electronic 2 Electronic 1 Vib 2 Vib 3 Vib 4 Vib 2 Vib 3 Vib 4 Rotational states Energy A* A1 E*-E1 Photon emission from electronic state * to 1 AElectronic 2 Electronic 1 Vib 2 Vib 3 Vib 4 Vib 2 Vib 3 Vib 4 Rotational states Energy A* A1 E*-E1 Photon emission from electronic state * to 1 A Fig. 2. Schematic of photon emission process Table 1. Energy separation Energy level Energy (eV) Energy (cm-1 ) Electronic 0.8-18 6500-145000 Vibrational 0.02-0.6 200-5000 Rotational 0.00001-0.0006 0.1-5 LensPlasma Spectrometer Detector Recorder LensPlasma Spectrometer Detector Recorder Fig. 3. Schematic drawing of an optical monochromator system for the analysis of photoemission from a plasma. mechanisms for optical emission are chemiluminescence in which the excess energy from an exothermic chemical reaction emits light. For example, the blue color at the base of a flame is produced chemiluminescence. Emission can also be produced by ion-neutral collisions, sputtering products that are released in an excited state, excited products of electron impact dissociation, absorption of photons and subsequent fluorescence or phosphorescence, collisional relaxation of metastable, etc. Shown in Fig. 2, the electron impact excitation of the ground state of atom A to an excited state A*, followed by subsequent emission at frequency ν to a lower energy level A1. The usual wavelengths are in the optical band, i.e., λ ~ 2000–8000Å. The typical energy separation of electronic, vibrational, and rotational transitions is summarized in Table 1. 2. Spectroscopy Optical emission spectroscopy, which measures the light emitted from a plasma as a function of wavelength, time, and location, is the most commonly used plasma diagnostic probe for microelectronics fabrication processes. The rate at which such transitions occur is determined by the quantum mechanical similarity of the states. This similarity leads to selection rules that indicate the allowed transitions. The energy of the photons emitted by the plasma, therefore, is characteristic of the composition and energy state of species within the plasma. The spectra can be used to analyze both the chemical species that make up the plasma and their state of excitation. Because it is non-intrusive, inexpensive, and can be easily incorporated into an existing plasma reactor, it quickly gains popularity in the microelectronics industry for monitoring the plasma processing. However, the large information content makes the interpretation of the spectra difficult. For this reason, it is primarily used as a “fingerprint” that is compared with spectra taken while a process is working well to identify the state or drift of the plasma. As a research and development tool, it can be very useful in understanding the basic processes within the plasma. It can be quite effective and quantitative if combined with other measurements. A schematic of the optical emission analysis is shown in Fig. 3. Optical emission measurements are usually made through a quartz window on the plasma reactor, with a monochromator system that measures emission wavelengths between 200 and 1000 nm by the rotation of a diffraction grating. A typical scan would take on the order of a minute for this range of frequencies. Plasma Diagnostics 153 White light Prism White light Prism (a) prism (b) grating Fig. 4. Spectrometers Therefore, only one emission energy can be monitored as a function of time during each experimental run in an etching process. Multichannel optical emission analyzers are also available, where diode array detectors are used to collect the spectra from a monochromator with a fixed grating. This technique has the advantage of acquiring a scan in a few seconds, so that multiple scans can be made during a process cycle to characterize transient process behavior. The required components for setting up an optical emission spectroscopy include: a) Optical window: quartz or sapphire is used to maximize the transmission of short wavelengths of light. Experimental difficulties can be caused by deposition on the chamber window through which the optical emission is sampled. Such deposits can selectively absorb emission, altering the spectra that are observed. In some cases, this can be overcome by purging the window with the input gas to keep the window clean. In addition, heating of the optical windows could also reduce the deposition. b) Spectrometers: Most of the photon detectors have a fairly flat response for different wavelength of the photon, thus, it is essential to disperse the plasma emission into the different wavelengths prior to the photo-detector. Several most commonly used spectrometers are listed here: • Prism: A prism works because the refractive index of glass depends on the wavelength. However, the use of prism in the plasma spectroscopy is not as common these days due to its limited resolving power. Note that the resolving power is defined as λ/∆λ and it defines how well a spectrometer can disperse the light into the different wavelengths. • Gratings: After the invention of the ruling machine by Rowland, the diffraction gratings have been used extensively for optical spectroscopy. Gratings with a resolving power of 100,000 can be easily obtained in a moderate size grating. For example, an 8 cm wide grating with 1200 line per mm has a resolving power of about 100,000 comparable to a glass prism with a base of 80 cm. One of the few shortcomings of the gratings is its relatively small optical throughput when a high resolving power is needed. • Filters: If the wavelength of a particular emission is known and the interference from the nearby wavelength can be neglected, one can use filters to Part B5154 photocathode focusing electrode dynodes anode photocathode focusing electrode dynodes anode (a) photomultiplier photocathode photodiode multi-channel plate phosphor screen photocathode photodiode multi-channel plate phosphor screen (b) photodiode (c) charge-coupled device Fig. 5. Detectors study the emission. A high quality filter can provide about 1 to 2 nm resolution. The main advantages of the filter are its low cost and its high optical throughput, around 80 percent of light transmission. c) Detectors: Photodetectors are essential for collecting the optical emission for the subsequent analysis. • Photomultiplier (PM) tube: The operation principle of a PM tube is based on the photoelectric effect where photons transfer their energy (hν) to excite the electrons in the materials to a higher energy level, or eject electrons from the surface to a vacuum, as shown in Fig. 5(a). The ejected electron can be accelerated by an external electrical field applied to the cathode, dynodes, and anode. When the accelerated electron hits the dynode surface, it is multiplied and the total number of multiplication would be as many as 107 electrons per each photoejected electron in less than 10-8 second. This large multiplication and the fast time response makes the PM tube one of the most frequently used photon detector. • Photodiode (PD): Photodiode arrays as shown in Fig. 5(b) are multi-channel light detectors consisting of a few hundreds to thousands of pixels in a linear array. Photoelectrons are generated through closely spaced capillaries coated with low-work function materials to emit secondary electrons and cause a cascade. • Charged coupled devices (CCDs): CCDs are the product of modern integrated circuits, as shown in Fig. 5(c). In CCDs, the absorbed photon transfers the energy to the electron in the light sensitive detection area and excite the electron into the conduction band. With the externally applied voltage, this excited electron move through the detection area and store in the adjacent capacitor. Accumulation of charge will occur as more electrons are moved to the capacitor until the capacitor is discharged for readout. The advantages of the CCDs include their very small detector dimension, high sensitivity, and very high signal to noise ratio. A typical dimension of a CCD detector is only about 10 to 20 µm, thus a CCD with 1024×1024 array of pixels will be only about 2×2 cm2 . The more sensitive CCDs now approaches 0.8 quantum efficiency (0.8 charge per each photon hitting the CCD). Due to the large number of pixels, however, the readout period is often longer and the time Plasma Diagnostics 155 Fig. 6. Optical emission spectrum of a N2 plasma. Fig. 7. Optical emission spectrum of a 92% CF4 – 8% O2 discharge. Fig. 8. Optical emission spectrum of a 74% CF4- 6% O2 plasma with 20% H2O. Fig. 9. Line shape determines the collision process. resolution is usually several KHz. d) Other optical components: There are many different optical components such as lens, mirrors, optical fibers, optical stops, etc., available for various spectroscopic applications. These components are used to enhance the overall performance of the spectroscopic system and should be selected carefully. Shown in Fig. 6 is a spectrum from a N2 discharge. A fairly complex spectrum is seen for this fairly simple molecule. The “families” of emission lines are caused by the vibrational states superimposed upon the electronic transitions, for example, N2 (B3 πg!A3 Σu + ) and (C3 πu!B 3 πg). If a more complex molecule or mixture is used, the density of lines increases and can cause the observation of what appears to be a continuous band. For example, Fig. 7 and 8 show spectra from a CF4/O2 plasma with and without the addition of water vapor. The atomic fluorine emits with very sharp peaks (around 700 nm) that can be easily identified, but CF3 produces a continuous broad band. The effect of water vapor is clear, since F reacts with H2O to form HF, F is largely depleted from the plasma and disappeared from the spectrum. If water is the contamination or a leak into the system, it would modify the optical emission spectrum significantly, enabling the detection of system failing with great sensitivity. The line shape of the optical emission peaks allows the differentiation of various excitation processes, such as differentiating the radiation of atomic species due to direct and dissociative excitation. Typically, the atomic lines are very sharp if the atoms originate directly from the electron impact excitation. The atoms originate from electron impact dissociation of molecular species is more diffusive and broader because dissociative excitation generally results in excited neutral fragments having several volts of energy, the radiation is Doppler broadened and can therefore be distinguished from the much sharper linewidth for radiation produced by direct excitation of a room temperature atom. Subtracting the emission intensity in the broadened tail from the total intensity allows the intensity due to direct excitation alone to be determined. As shown in Fig. 9, optical emission of F in a CF4/Ar/O2 plasma is recorded. The line shape of optical emission of F* at 703.7 nm is as sharp as the emission of Ar* at 703.0 nm, indicating that the F originates from direct electron impact excitation of the F atom: Part B5156 Fig. 10. Energy diagram of Cl2 3s2.3p4(3P)4s 3s2.3p4(3P)4p hν 837.6 nm 3s2.3p4(3P)4s 3s2.3p4(3P)4p hν 837.6 nm Fig. 11. Partial energy diagram of Cl 240 260 280 300 Si:288.16 nm Si:251.61 nm OESIntensity Wavelength (nm) Cl2 + Cl2 200 400 600 800 1000 2 Cl:837.59nm Cl:792.46nm Cl:255nm OESIntensity Wavelength (nm) Cl Fig. 12. Optical emission from a Cl2 plasma (Si as the substrate). e− + F ! F* + e− If the optical emission originates from the dissociation of molecular fluorine: e− + F2 ! F + F* + e− much broader optical emission lines will be observed. Figures 10-12 show the energy diagrams of Cl2 and Cl, and the optical emission spectrum from a chlorine discharge, outlining the signatures of Cl (837.6 nm), Cl2 (255 nm), and Cl2 + . Chlorine is commonly used in the microelectronics industry for etching various materials, and the densities of Cl, Cl+ , and Cl2 + increased monotonically with power at a constant chlorine pressure, whereas the Cl2 densities reduced. As stated before, the line shapes of the optical emission peaks aid to differentiate the various excitation processes. A set of detailed optical emission spectra from a Cl2/Ar plasma is shown in Fig. 13. The Cl* emission at the anode is sharp, indicating an electron impact excitation of atomic chlorine. The Cl* at the cathode comprises of two components: one sharp feature and one broader peak associated with the dissociative excitation (as shown with the two colored fitted lines). e− + Cl ! Cl* + e− e− + Cl2 ! Cl* + Cl + e− A number of different monitors have been developed to indicate the completion of etching. They can be categorized as measuring either completion at a particular point on a wafer (e.g. laser optical reflectivity) or measuring an average value for all the wafers. The common difficulty among all of the end point detectors is discriminating the correct time of completion, since the output is a continuous function that often has only a minor change in derivative as the process is completed. Discrimination is especially difficult if the process is not uniform across the reactor, leading to an even less abrupt change in the process at completion. 3. Actinometry To interpret the optical emission spectra (OES) of a plasma the kinetics of excitation and relaxation must be considered. The optical system contains emission at a large number of wavelengths, corresponding to allowed transitions between a combination of electronic, vibrational, and rotational states. The electron impact is responsible for creating the excited neutrals, so the electron distribution function must be known in order to calculate quantitatively the concentration of neutrals from hν = 255.0 nm Plasma Diagnostics 157 Fig. 13. Line broadening indicates different processes. the measured emission intensity. To illustrate the analysis, let nA be the concentration of the free radical A and let Iλ be the optical emission intensity integrated over the linewidth. The emission due to excitation from the ground state A can be written as AAnI λλ α= (1) with 2 * 0 ( ) 4 ( ) ( )A D A A ek v dvQ v vf vλ λα λ π σ ∞ = ∫ (2) Here fe(v) is the electron distribution function, σλA is the cross section for emission of a photon of wavelength λ due to electron impact excitation of A, QA* is the quantum yield for photon emission from the excited state ( 10 * ≤≤ AQ ), and kD is the response constant of the photodetector. For low-pressure plasmas and excited states having short lifetimes, 1* ≈AQ , though QA* is generally less than unity for metastable states, due to collisional or electric field de-excitation, ionization, or other processes that depopulate the state without emission of a photon. We note that the cross section σλA differs from the cross section σA* for excitation of A to level A*, since spontaneous emission to more than one lower lying level can occur. These two cross sections are related by: σλA=bλσA*, where bλ is the branching ratio for emission of a photon of wavelength λ from the excited state A*. Typically σλA is known but fe(v) is not; i.e., fe(v) is not generally a single-temperature MBD. As plasma operating parameters (pressure, power, driving frequency, reactor size) are varied, fe(v) changes shape. In particular, the high-energy tail of the distribution, near the excitation energy EA*, can vary strongly as discharge parameters are changed. Consequently σλA changes and Iλ = αλAnA is not proportional to nA. This limits the usefulness of a measurement of Iλ, which provides only qualitative information on the radical density nA. To quantify the concentration of the excited species, Coburn and Chen used a small concentration of inert trace gas of known concentration, nT, within the plasma (termed an actinometry gas) to interpret more quantitatively the emission spectra and determine the radical density nA of interest. By comparing the relative intensity of emission from the reference trace gas and the species of unknown concentration, they compensated for variations in the electron distribution function. Typically a noble gas such as Ar is used as it does not react, and therefore its concentration is relatively constant as parameters such as Part B5158 σ v σλA σλT/ v3fe(v) σ v σλA σλT/ v3fe(v) Fig. 14. Principle of actinometry. 0 11.5 12.0 12.5 13.0 13.5 14.0 14.5 EnergyofElectronicStatesofAr(eV) 1s3 1s5 (Metastable) 1s2 1s4 (Radiative) 2px States (x=1-10) Higher states (3px, …) Ground state 0 11.5 12.0 12.5 13.0 13.5 14.0 14.5 EnergyofElectronicStatesofAr(eV) 1s3 1s5 (Metastable) 1s2 1s4 (Radiative) 2px States (x=1-10) Higher states (3px, …) Ground state 1s3 1s5 (Metastable) 1s2 1s4 (Radiative) 2px States (x=1-10) Higher states (3px, …) Ground state 1s2 1s4 (Radiative) 2px States (x=1-10) Higher states (3px, …) Ground state Fig. 15. Energy level diagram of Ar, a common actinometer. plasma power are varied. The concentration of Ar is computed using the ideal gas law based on the total process pressure and the fraction of gas that is used. Now choose an excited state T* of the trace gas T that has nearly the same excitation threshold energy, *** εεε ≈≈ AT . The cross sections )(vAλσ and )(' vTλ σ for photon emission of λ (from A) and λ' (from T) are sketched in Fig. 14. A typical form for the multiplication factor 3 ( )ev f v is also shown as the overlapping shaded area. For the tracer gas, TT nI '' λλ α= (3) with ' * ' ' 2 0 ( ) 4 ( ) ( )D eT T T k v dvQ v vf vλ λ α λ π σ ∞ = ∫ (4) Since there is only a small range of overlap of fe(v) with σ, we approximate cross-sections with values near the threshold: )('' thrTT vvC −≈ λλ σ and )( thrAA vvC −≈ λλσ , where the C's are the proportionality constants. We then take the ratio of Iλ and Iλ' to obtain ' λ λ I I nCn TATA = (5) where ( )TTDAADAT CQkCQkfC ',),(,,),( * ' * λλ λλ= (6) It is often possible to choose λλ ≈' such that )()( ' λλ DD kk ≈ , and assumes ** TA QQ ≈ . Hence the constant of proportionality is related to the threshold behavior of the two cross sections. If nT is known and Iλ and Iλ' are measured by OES, an absolute value of nA can be determined. Even if CAT is not known, the relative variation of nA with variation of plasma parameters can be found. A perfect actinometry trace gas would have a cross section for excitation that is identical to that of the species of interest. Any variations in the electron energy distribution would also cancel. However, this is difficult to find. In practice, the electron temperature in low density plasma is fixed by the electron impact ionization and dissociation processes. At lower power densities, a small fraction of the gas is dissociated and little variation is observed with power, pressure, or electrode spacing. Therefore, actinometry has been found to work very well even if the excitation cross sections are not well matched. For F optical emission at λ=7037Å with a threshold energy of 14.5 eV, a common choice for the tracer gas is Plasma Diagnostics 159 Fig. 16. TRG-OES analysis [JVST A 15, 550 (1997)] argon (as shown in Fig. 15) at λ'=7504Å with a threshold energy of 13.5 eV. Typically, nT is chosen to be 1-5% of the feed gas density. Recently, Malyshev and Donnelly developed the trace rare gases optical emission spectroscopy (TRGOES) as a new, nonintrusive method for determining electron temperatures (Te) and estimating electron densities (ne) in low-temperature, low-pressure plasmas, as shown in Fig. 16. Their method is based on a comparison of atomic emission intensities from equimixture of He, Ne, Ar, Kr, and Xe rare gases to the plasma. For Maxwellian electron energy distribution functions (EEDF), Te is determined from the best fit of theory to the experimental measurements. For nonMaxwellian EEDFs, Te is derived from the best fit describes the high-energy tail of the EEDF. 4. Advantages/disadvantages The primary advantage of optical emission analysis is that it is non-intrusive and can be implemented on an existing apparatus with little or no modification. It provides spatial and temporal resolution of the plasma emission spectra and has very large information content which yields much valuable information about the plasma if analyzed properly. Moreover, it is relatively inexpensive and can be used on more than one reactor. However, its complex spectrum is often difficult to interpret. Therefore, typically only the atomic lines are used in plasma process analysis. Molecular lines of unknown origin are often used to monitor species whose emission changes significantly upon the end point in plasma etching processes. It is reasonably effective as a trouble-shooting tool to identify contamination as water in the process or an air leak, as long as the “normal” processing spectra haven been recorded. One of the most limiting factors of OES as a process diagnostic tool is the maintenance of the optical window. Deposition and/or etching of the window can significantly modify and attenuate the OES signal. 5. Application: end-point detection End point detection is used to determine the end of a plasma etching process to better control etching fidelity. The use of single wafer etchers where the process is terminated after a standard over-etch time determined by end point detection has resulted in improvement of reliability and reduced process variation. The control of the over-etching time is important to the quality of etching processes. It is necessary to have a Part B5160 0 500 1000 1500 OESIntensity time (s) 2 Plasm aoff Biasoff Biason Plasm aon Si (251.67nm) Cl (257.01nm) Cl (837.62nm) O (777.42nm) Fig. 17. End point detection in Cl2 etching of ZrO2. sufficient over-etch to assure that the thin film has cleared at all points, and to remove stringers that result from locally thicker regions where the films are deposited over steps. The excess etchant causes an acceleration of the etching of the remaining horizontal surfaces of the film. Figure 17 shows the end-point detection of Cl2 plasma etching of ZrO2 on Si by OES: the optical emission from the reactant including atomic chlorine at 837.62nm, molecular chlorine at 257.01nm and atomic oxygen at 777.42 nm and etching products such as atomic silicon at 251.67 nm are monitored for end point detection. As the plasma was turned on, very strong Cl intensity was detected, and a decrease in intensity was observed after applying the substrate bias due to a manual adjustment of the matching networks for both the microwave source power and the RF substrate bias. Once the matching yielded a stable plasma, Cl, Cl2, and O intensities remained relatively constant, until Si intensity was detected upon reaching the etching end-point. Upon the appearance of atomic Si emission, a slight decrease in both Cl and Cl2 intensities was observed. This is likely due to more chlorine consumption in etching silicon, which caused a measured chamber pressure decrease. As the pressure decreases, the electron temperature increases to dissociate Cl2 and excite Cl more effectively, resulting in a continued decrease in Cl2 intensity and a recovery in Cl intensity. It is clear that optical emission can be used in the detection of endpoints. Alternatively, several other techniques suitable for end-point detection are summarized in Table 2 for comparison. Table 2. End-point detection techniques Method Measuring Monitoring End point Emission Spectroscopy Intensity of light emitted from discharge Emission from reactive species and/or etch products Average for all wafers Full Wafer Interferometry Intensity of light interference Changes in film thickness One wafer and in situ etch rate monitor Optical Reflection Interference phenomena or reflectivity differences Changes in film thickness One wafer and in situ etch rate monitor Mass Spectrometry Gas composition Etch products Average for all wafers Plasma Diagnostics 161 Fig. 18. Laser induced fluorescence setup. Fig. 19. A two photon excitation process for oxygen, nitrogen, and chlorine. Fig. 20. Spectrally resolved LIF signals of a Cl2 plasma. II. LASER INDUCED FLUORESCENCE Laser induced fluorescence (LIF) is a technique where the emission is created by external light stimulation. The relaxation of the excited states yields photons of specific wavelengths that can be used to identify various species in the plasma. A schematic diagram of the laser induced fluorescence is shown in Fig. 18. One of the most widely used laser induced fluorescence technique involves a two-photon excitation process, where an excimer laser or a Nd:YAG laser is used to induce two or multiple photon excitation to aid the identification of atomic species such as atomic oxygen. For examples, an incident laser of 226 nm wavelength can induce a two-photon-excited optical transition of atomic oxygen: 2p (3 P) ! 3p (3 P). Then the fluorescence occurs at 844nm due to the relaxation transition: 3p (3 P) ! 3s (3 S), as shown in Fig. 19. LIF can also be used to investigate the effect of an electric field on the P, Q, and R- branch of the rotational spectra, as shown in Fig. 20. Though Q- branch is forbidden by the selection rule, the electric field can cause Stark interaction and the Q- branch gains intensity at the expense of the P and R branches. This effect is corroborated by the first principle calculations and proves the capability and sensitivity of such measurement. These optical techniques can be combined for corroboration and improvement of the ability to quantify the concentration of plasma species. Shown in Fig. 21 are results from an O2/CF4 plasma mixed with 2-3% Ar added as a tracer gas. The oxygen concentration was determined by actinometry using O atom emission at two different wavelengths, λ=7774Å (3p5 P!3s5 S) and λ=8446Å (3p3 P!3s3 S), each ratioed to the Ar emission at wavelength λ'=7504Å. When compared to the two-photon LIF measurement, it can be seen that the 8446/7504 Å actinometric measurement tracks the two photon LIF measurement fairly well as the CF4% is varied. However, the 7774/7504 Å measurement yields a saturation of oxygen concentration rather than a decrease as the CF4 concentration is lowered to below 20%, contrary to the LIF measurement. Again, this is due to the competition of the dissociative excitation process: e− + O2 ! Cl* + Cl + e− ! 2Cl + e− +hν with the direct excitation process: e− + O ! O* + e− ! O + e− +hν Part B5162 Fig. 21. Comparison of OES and LIF. n1 n2 n3 d film λ θ1 θ2 a b c Incident Reflected n1 n2 n3 d film λ θ1 θ2 a b c Incident Reflected Fig. 22. Schematic drawing of a laser interferometry apparatus. such that the measured optical emission intensity 2 2O O O OI n nλ λ λα α= + has a component proportional to the O2 feed density 2On as well as the atomic density On . The actinometric measurement of On will fail if 2 2O O O On nλ λα α≤ , which is the case for the 7774 Å measurement. III. LASER INTERFEROMETRY Laser interferometry measures the reflection of a laser from the thin film being etched. At each interface, the light interacts with both media and will be reflected, absorbed, or transmitted. Interference between light reflected from the upper surface and the lower interface of the film causes a periodic variation of the reflected laser beam as the film thickness varies, as shown in Fig. 22. The incident light is at a wavelength of λ, travels through a medium with a refraction index of n1 (=1 air), and encounters a thin film of thickness d, with a refractive index of n2 at an angle of θ1. The incident light reflects from the surface of the thin film to yield a reflected “a” ray; it also reflects off the interface between the thin film and the substrates (index n3) to yield the “b” ray. The “c” ray is due to a multiple reflection. Due to the phase shift associated with the angle of incidence and film thickness, constructive/destructive interference can occur. At normal incidence, the peaks and valleys of the reflectivity as a function of time correspond to film thicknesses passing through ¼ wavelength multiples of the laser light in the thin film. This technique can be used on patterned thin films to determine the etching rate of the film, if the film does not cover too large a percentage of the surface. Application of this technique requires an abrupt change in refractive index at the thin film/substrate interface. A sufficiently thin and transparent film is required to ensure some laser reflection at the interface. The interference created between the light reflected from the film being etched and the underlying thin film produces a sinusoidal intensity that can be used to monitor the etching rate of the thin film. For a laser beam striking the film at an angle θ1 to the normal, the reflected beam amplitude oscillates due to interference, and the change of film thickness d is given by: 1 22 2 sin 2/ θ λ − =∆ n d (7) Laser interferometry measures the periodic Plasma Diagnostics 163 0 2 4 6 8 10 0.0 0.2 0.4 0.6 0.8 1.0 0 2 4 6 8 10 0.0 0.2 0.4 0.6 0.8 1.0 Intensity Time (min) (b) (a) Intensity Time (min) Fig. 23. Computed interferometry signal without photoresist. The interference pattern (a) originates from a more reflective thin film than that in (b). 0 2 4 6 8 10 0.0 0.2 0.4 0.6 0 2 4 6 8 10 0.0 0.2 0.4 0.6 0.8 1.0 Intensity Time (min) (b) (a) Intensity Time (min) Fig. 24. Computed interferometry signal with equally-lined-and-spaced photoresist. The interference pattern (a) originates from a less reflective photoresist. Fig. 25. Setup for performing the full wafer interferometry. reflection from a film in which the extinction coefficient of the laser light is sufficiently low, e.g., polysilicon and oxide can be readily measured. For metals and most silicide films, no periodicity is seen because of their high extinction coefficients (the light is adsorbed in the film), but an abrupt change in reflectance is recorded upon clearing. Using etching of Si on SiO2 as an example, the interferometry pattern can be easily determined by specifying the index of refraction of all materials and the reflectance, transmittance, and absorbance of all materials. Figure 23 shows the computed interference pattern of blanket Si on SiO2: (a) 50% reflection and 50% transmission for Si and 100% reflection for SiO2, and (b) 25% reflection and 75% transmission for Si and 100% reflection for SiO2. The variation in the interferometric amplitude is larger in the case where the thin film is more reflective. Figure 24 shows the computed interference pattern of equally-lined-and-spaced photoresist pattern on Si on SiO2: (a) 25% reflection and 75% absorption for photoresist, 50% reflection and 50% transmission for Si and 100% reflection for SiO2 , and (b) 75% reflection and 25% absorption for photoresist, 50% reflection and 50% transmission for Si and 100% reflection for SiO2. Due to the presence of the photoresist pattern, the interferometric signal was modulated at periods greater than the interferometric signal in the absence of the photorsist patterns. This modulation is more significant when the reflectivity of the photoresist is larger. The laser interferometry is quite inexpensive, but may require modification of the plasma reactor if it is not equipped with the appropriate windows. Minor difficulties can occur if the window becomes dirty. The technique provides a continuous measure of the etching rate, displays any inhibition period, monitors the variation in etch rates, and detect the onset of surface roughening by a continuous decrease in amplitude of the reflectance. IV. FULL-WAFER INTERFEROMETRY Full wafer interferometry uses a CCD camera interface with a computer to collect the modulation of reflectivity from the wafer as a function of time, and thereby, determine the etching rate of thin film materials or endpoint of highly reflective materials, as shown in Fig. 25. Full wafer interferometry is able to measure etching uniformity across a wafer, within dies, and aspect ratio Part B5164 Fig. 26. Interferometry signal for polysilicon measured by the CCD technique. Fig. 27. Schematic drawing of a mass spectrometer setup. Fig. 28. Schematic drawing of a quadrupole mass spectrometer. dependent etching, if structural information within the die is available. This technique uses the light emission from the plasma unlike laser interferometry where a laser is used to illuminate the wafer. The resolution of etching rate is approximately 1 %. It also works for submicron features as the interference occurs in the unetched region, as long as the wavelength of the interfering light is longer than the feature size. For example, measurements of 0.5 µm patterned lines and spaces have been performed with 0.6 µm light. As shown in Fig. 26 (a) during the etching of patterned polysilicon, the actual CCD signal measured for both a bare polysilicon region and a region patterned with photoresist. The patterned polysilicon region shows an attenuated signal due to the presence of the photoresist. Figure 26 (b) presents the magnitude of the FFT for the signals in Fig. 26 (a). The dotted line is the FFT spectrum for the bare polysilicon region, which yields an etching rate of 296 nm/minute. The solid line is the FFT spectrum for the patterned polysilicon region, which yields a lower etching rate of 248 nm/minute. V. MASS SPECTROMETRY Mass spectrometer has long been used to measure the composition of a gas by ionizing the gas, typically by electron impact ionization, and separating the resulting ions by the mass-to-charge ratios, and the set up is typically as shown in Fig. 27. For a time-of-flight mass analyzer with a flight tube of L, the ions are dispersed in time: 2 / 2 2 / / / 2 E mv zV v E m t L v L m Vz = = = = = (8) The field created by the quadrupole structure causes the ions to transverse between the poles in a helical path that is stable for only a particular mass-to-charge ratio (Fig. 28). The ions that successfully pass through the mass filter are collected and the resulting current is amplified to form the mass spectrometer signal. Varying the AC and DC potentials allows the selection or scanning of mass-tocharge ratios, as shown in Fig. 29. To avoid detecting species that are modified by collisions with chamber walls, the mass spectrometer must be mounted as close to the discharge as possible, so that the gas entering the mass spectrometer chamber has minimal opportunity for contacting the walls. In most plasma processes, the mass spectrometer measures the gas Plasma Diagnostics 165 Fig. 29. Operation of a quadrupole mass spectrometer. The alternating RF field results in a narrow mass peak of a certain mass-to-charge ratio. 360 365 370 375 380 385 390 395 400 m/e (amu) ZTB – CH3 From Zr, C, H, O Isotope Calculation 150 200 250 300 350 400 0 1000 2000 3000 4000 5000 CountRate(c/s) m/e (amu) 367 360 365 370 375 380 385 390 395 400 m/e (amu) ZTB – CH3 360 365 370 375 380 385 390 395 400 m/e (amu) ZTB – CH3 360 365 370 375 380 385 390 395 400 m/e (amu) ZTB – CH3 From Zr, C, H, O Isotope Calculation From Zr, C, H, O Isotope Calculation 150 200 250 300 350 400 0 1000 2000 3000 4000 5000 CountRate(c/s) m/e (amu) 367367 Fig. 30. Fragmentation pattern of zirconium t-butoxide (ZTB). composition at a point between the chamber and the pumping system. However, for research studies, the mass spectrometer can be built into the system so that it measures the ion and neutral flux incident upon an electrode through the use of an orifice in the electrode. With this technique, both the ion and neutral fluxes upon the electrode can be recorded, and the species to be detected pass from the plasma reactor into the ionizer of the mass spectrometer in a line-of-sight path, minimizing the corruption of the gas composition caused by collision of gas with walls. In a plasma, the neutrals, radicals, and ions in the plasma can be sampled through an orifice for analysis. Ions can be directed analyzed based on their mass-tocharge ratio. For detecting neutrals and radicals, ions need to be deflected and neutrals be ionized for detection. During electron impact ionization, the fragments created from a number of different species could have the same mass-to-charge ratio, thus a quantitative analysis requires the measurement of the cracking patterns for each species, and a comparison of these individual spectra to the composite spectrum. From this, the combination and quantity of species whose spectra would sum to the composite spectrum can be determined. As an example, the PECVD of ZrO2 using an organometallic Zr(OC4H9)4 precursor and O2 is discussed here. The cracking pattern of Zr(OC4H9)4 is first characterized in the absence of plasma, as shown in Fig. 30. Several Zr-containing fragments could be easily identified in the mass spectrum using the relative abundance of naturally occurred Zr isotopes, i.e., 90 Zr (51.45 %), 91 Zr (11.22 %), 92 Zr (17.15 %), 94 Zr (17.38 %), and 96 Zr (2.80 %). The highest mass and most abundant fragment corresponds to a ZTB molecule missing one methyl group, Zr(OC4H9)3(OC3H6), at m/z=367-373, whose isotopic mass patterns exactly matched those calculated based on the relative elemental isotopic abundances, as shown in the inset of Fig. 30. With the cracking pattern determined, the quantitative analysis is thus possible. In Fig. 31, three ion mass spectra in the m/z range of 85-170 from the ZTB plasmas with O2/ZTB(Ar) ratios of 0, 0.5, and 4 are shown at the same pressure and microwave power. The ion intensities were normalized to the Ar flow rate, which scales with the feed rate of ZTB precursor, and the normalized intensity of the most abundant ZrOxHy + in each spectrum was comparable. It is clear that Zr+ and ZrO+ are the dominant Zr-containing Part B5166 90 100 110 120 130 140 150 160 170 0 2500 5000 7500 10000 (b) O2 /Ar=0.5 (a) O2 /Ar=0 (c) O2 /Ar=4 Mass-to-charge ratio 0 2500 5000 7500 10000 0 2500 5000 7500 10000 90 94 98 0 50 100 160 164 168 0 50 100 150 CountratenormalizedtoArflowrate(cts/ssccm) Zr+ ZrO+ ZrO2H+ ZrO3H3 + ZrO4H5 + ZrO2 + 90 100 110 120 130 140 150 160 170 0 2500 5000 7500 10000 (b) O2 /Ar=0.5 (a) O2 /Ar=0 (c) O2 /Ar=4 Mass-to-charge ratio 0 2500 5000 7500 10000 0 2500 5000 7500 10000 90 94 98 0 50 100 160 164 168 0 50 100 150 CountratenormalizedtoArflowrate(cts/ssccm) Zr+ ZrO+ ZrO2H+ ZrO3H3 + ZrO4H5 + ZrO2 + Fig. 31. Relative abundance of ZrOx as a function of O2 addition toZTB. 155 160 165 2 +ZrCl y m/z 195 200 205 + 3ZrCl 230 235 240 + 4ZrCl Fig. 32. Etching products from Cl2 etching of ZrO2. 5 10 15 20 25 30 35 40 45 50 0.01 0.1 1 10 100 NormalizedCountRate(%) Ionizer Electron Energy (eV) ZTB Plasma at O2 /Ar=0 ZTB Plasma at O2 /Ar=4 15 eV m/z=16 19 eV 5 10 15 20 25 30 35 40 45 50 0.01 0.1 1 10 100 NormalizedCountRate(%) Ionizer Electron Energy (eV) ZTB Plasma at O2 /Ar=0 ZTB Plasma at O2 /Ar=4 15 eV m/z=16 19 eV Fig. 33. Appearance potential mass spectrometry allows the differentiation of dissociative ionization products. ionic species in the plasma with no O2 addition (O2/Ar =0). Even ZrO2H+ existed in a small amount, though Zrcontaining ions with higher oxidation states were not detected. This result suggests, first, both Zr-O (yielding Zr+ ) and C-O dissociations (yielding ZrO+ and ZrO2H+ ) in the ZTB precursor molecule take place in the plasma gas phase and their probabilities are comparable. Secondly, Zr+ , ZrO+ , and ZrO2H+ were produced through multiple sequential electron collisions, since ZrO3H3 + and ZrO2H+ were the dominant species observed in the neutral mass spectrum in the absence of a plasma, where most fragments were derived through a unimolecular decomposition of the ZTB precursor. The following sequential dissociation reactions are thus suggested to occur in the plasma: _ _ _ e e e 3 3 2ZrO H ZrO H ZrO Zr O, 2H O, H O → → → →! " " " (9) Similarly, mass spectrometry can be used in detecting plasma etching products, especially polyatomic species, which are not sensitive to the OES analysis. Figure 32 shows the etching products in Cl2 etching of ZrO2 thin film, where ZrClx are the dominant etching products. Finally, appearance potential mass spectrometry allows the detection of a wide range of radicals and identify their origin from different excitation processes. In this method, the ionization filament energy is gradually increased while the species of interest at a particular massto-charge ratio is monitored. The appearance of the chemical species at a particular electron energy of the ionizer would allow one to discriminate the radicals from different origins. For example, Fig. 33 shows the appearance potential mass scan at m/z=16, which corresponds to O, in a ZTB/O2 plasma. The ionization potential of O is 13.6 eV, but there is no mass signal detected at 13.6 eV, suggesting that the detected oxygen atoms are all from the dissociation processes. There are at least two origins of O. Knowing that the O-O bond strength is about 5.1 eV, the mass signal detected at 19 eV is likely due to O from dissociation of O2, and the mass signal detected at 15 eV is likely to correspond to O from dissociation of C-O or Zr-O in the plasma. This is similar to using the line shapes in the optical emission spectra to differentiate the excitation processes from which the species of interest originate. Plasma Surface Kinetics 167 Adsorption from Precursor Nucleation and Island Growth Step Growth Transport to Surface Surface Diffusion Desorption Desorption of Volatile Products Main Gas Flow Region e _ Radicals Ions Adsorption from Precursor Nucleation and Island Growth Step Growth Transport to Surface Surface Diffusion Desorption Desorption of Volatile Products Main Gas Flow Region e _ Radicals Ions Fig. 1. Schematic diagram of plasma surface interaction. CF4+e _ → CF2 + 2F +e _ F → SiFx → SiF4 SiF4F SiF4 ↓ ↓ ↑ ↑ (1) (2) (3) (4) (5) (6) surface CF4+e _ → CF2 + 2F +e _ F → SiFx → SiF4 SiF4F SiF4 ↓ ↓ ↑ ↑ (1) (2) (3) (4) (5) (6) surface Fig. 2. A descriptive schematic of plasma gas-phase and surface reac- tions. Fig. 3. Potential energy diagram for physisorption and chemisorption. PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B6: PLASMA SURFACE KINETICS I. PLASMA CHEMISTRY To characterize plasma-surface interactions, we first reviewed elementary reactions, gas phase kinetics, and surface kinetics. Plasma processing shares a relatively common set of steps, by which the surface reaction proceeds. Ion bombardment can alter the kinetics of one or more of the steps, creating an enhancement of the etching or deposition rate; this effect is thought to be the primary cause of anisotropy in the surface topographical change. A reasonable set of steps for plasma etching that can be used to understand the etching mechanisms are as follows (Figs. 1 and 2): 1. Creation of the reactive species within the plasma phase by electron-neutral collisions and subsequent chemical reactions: e− + CF4 → CF3 + F + e− 2. Transport of the reactive species from the plasma to the substrate. 3. Adsorption of the reactive species on the surface (either physisorption or chemisorption, Fig. 3). 4. Dissociation of the reactant, formation of chemical bonds to the surface, and/or diffusion into the substrate with subsequent formation of the desorbing spe- cies: F* + SiFx → SiFx+1 5. Desorption of the product species: SiF4(s) → SiF4(g) 6. Transport of the product species into the plasma. 7. Simultaneous re-deposition of etching products. II. SURFACE REACTIONS 1. Spontaneous surface etching A number of gas-surface systems of interest to microelectronic fabrication react spontaneously, e.g., F with Si, and Cl2 with Al. Spontaneous etching is a process in which neutral species interact with a solid surface to form volatile products in the absence of energetic radiation (e.g., ion bombardment or UV radiation). These spontaneous chemical reactions generally are activated and follow an Arrhenius relationship, and the rate of reaction is given by Part B6168 Si Cl Cl2 Si Cl Cl2 Fig. 4. Spontaneous surface etching reactions. Time Cl Etching Rate (A/min) o Time Cl Etching Rate (A/min) o Etching Rate (A/min) o Fig. 5. Slow etching rate of silicon due to Cl spontaneous etching. aE KT s oER k e Q   −    = (1) where Q is the flux of reactive species, T is the substrate temperature, ko is the preexponential factor and Ea is the activation energy. The preexponential factors and activation energies for both Cl and F atoms etching of silicon are shown in Table 1 for comparison. Table 1. Arrhenius rate parameters for Cl and F atoms etching of silicon. Neutral Substrate Q (#/cm2 /s) ko (Åcm2 s/#min) Ea {eV} Cl Poly-Si 6×1019 2.57×10-14 0.29 F Si<100> 2.3×1019 -1.1×1022 3.59×10-15 0.108 The activation energy of atomic chlorine etching polysilicon is approximately three times larger than that of atomic fluorine. Therefore, the etching yield by atomic chlorine is two orders of magnitude lower than that of atomic fluorine even with a larger preexponential factor. This is consistent with the high energy barriers for penetration of chlorine (13 eV) into the silicon backbones than that of fluorine (1 eV). In the case of silicon etching in chlorine, Equation (1) predicts an etching yield that is 2-3 orders of magnitude less than the overall ion-enhanced etching yield and thus can be ignored (Figs. 4 and 5). However, for Al etching in chlorine, the spontaneous etching is significant. For a process that is limited by the surface reaction kinetics, the rate is typically a strong function of the surface temperature, however, an etching process that is limited by electron impact reaction in the plasma phase or ion bombardment-induced surface kinetics is relatively insensitive to temperature. An etching process that is limited by a surface chemical reaction produces isotropic etching, since the reactant gas has no strong preferential directionality. Any free radicals formed will most likely strongly adsorb to the surface, and thus participate in the etching reaction. The creation of the free radical in the gas phase eliminates the chemical barrier for chemisorption that would normally exist at room temperature. The chemical reactions that take place on the surface typically follow a Langmuir-Hinschelwood mechanism, i.e., a reaction between chemisorbed species. It is worth noting here that the doping level of the Plasma Surface Kinetics 169 Fig. 6. Conformation deposition of SiO2 in Si(OC2H5)4/O2. Fig. 7. Non-conformal deposition of SiO2 in SiH4/O2. silicon substrate can greatly change the spontaneous etching rate of polysilicon by F and Cl atoms. Houle studied the etching of Si in the presence of F and showed that the doping effect in which heavily n-type polysilicon was etched more rapidly than p-type or undoped, was a result of the band bending at the surface. The adsorbed atomic F began negatively ionized by an electron tunneling from the bulk Si. If the field caused by the band bending aided the transport of F− into the surface, the reaction rate is accelerated. As the band bending is a function of the Fermi level, the doping changes the etching rate. In Cl etching of Si, the same doping effect occurs, however, it is more pronounced. Atomic chlorine does not appreciably etch p-type and undoped polysilicon at room temperature, however, it etches n+ -type polysilicon spontaneously with one to two order magnitude increase in etching rate. Ogryzlo termed this effect “field enhanced etching”, in that the large electron density in the valence band causes the Fermi level to bend upwards. This band bending facilitates “charge transfer” from silicon lattice to the electronegative and chemisorbed Cl atoms, makes the Si-Cl bonding more ionic, allows for more flexibility in the bonding geometry, and creates more chemisorption sites. The incorporation of chlorine atoms is thus enhanced, as well as the etching rate. The slower etching of Si by Cl and Br than F is probably due to the larger size of Cl and Br and the greater sterric hindrance effect. 2. Spontaneous deposition Deposition of thin films due to reactive radicals with low volatility is common in both etching and deposition processes. In these reactions, the sticking coefficients of the free radicals are of critical importance of deposition kinetics. For example, SiO2 deposition by PECVD is widely used as the interlayer isolation between metal lines in MOSFET device, and SiO2 deposition can be done with different precursor chemistries. Figure 6 shows the conformal deposition of SiO2 over high aspect ratio features in a TEOS/O2 plasma, due to the small sticking coefficients of the reactants. Figure 7 shows a non-conformal deposition profile of SiO2 deposited in a silane/O2 plasma, due to the large sticking coefficient of the reactants. In etching processes, deposition of reactants or redeposition of etching products can be useful or detrimental, depending upon the process of interest. In the case of etching of SiO2, a polymer layer formation is thought necessary for the etching, though too thick a polymer layer Part B6170 1 2 3 4 5 -100 0 -200 Fluorine to carbon ratio (F/C) of gas phase etching species Biasappliedtosurface(volts) C2F4 CF4C2F6C4F10 Etching Polymerization O2 addition H2 addition Loading 1 2 3 4 5 -100 0 -200 Fluorine to carbon ratio (F/C) of gas phase etching species Biasappliedtosurface(volts) C2F4 CF4C2F6C4F10 Etching Polymerization O2 addition H2 addition Loading Fig. 8. Plot of boundary between polymer growth and etching (Coburn). would result in an “etch-stop”. Coburn introduced the concept of the carbon/fluorine ratio to help quantify the conditions under which polymer formation occurs. Shown in Fig. 8 is a diagram he developed that characterizes the observations of the effect of the C/F ratio, ion bombardment energy, loading, and additions of H2 or O2. As can be seen in Fig. 8, if the feed gas has a high C/F ratio, a polymer can be formed on the surfaces in contact with the plasma. Polymerization occurs by the sequential addition of free radicals onto a polymer chain. Radicals such as CF and CF2 can add to a chain without reducing the probability that additional radicals can be added. If CF3 or F is added to a chain, however, the positions available for additional chain growth are reduced. Therefore, the relative concentrations of these species dictate the growth rate and chain length of polymeric chains. Increasing the F/C ratio favors the formation of F and CF3, and therefore reduces the propensity for a polymer to be formed in a plasma. Addition of H2 reduces the concentration of F by reaction to form HF, thereby increasing the effective C/F ratio. O2 additions react with the carbon, which results in a decreased effective C/F ratio. Increasing the power level to a plasma favors the production of CF and CF2 over CF3, but increases the concentration of F sufficiently that the net result is the reduction of polymerization. Increasing the power also increases the probability that a polymer chain is broken by electron impact in the plasma or sputtered from a surface by an ion. Polymer build-up on a surface is decreased by ion bombardment because of sputtering and chain breakage. Therefore, a higher propensity for formation exists on the sidewalls of an etching feature where the ion flux is reduced and polymer can be deposited preferentially. The addition of oxygen to prevent polymer deposition can also come from the material being etched, i.e., SiO2. The selectivity of etching with respect to oxide is achieved by balancing the plasma chemistry such that polymer formation does not occur on an oxide surface as it is etched since the oxide supplies enough oxygen which reacts with the carbon to prevent its build up. For the same chemistry, when Si or Al is encountered, a polymeric film builds up on the surface and the etching stops. This means of selectivity allows for very high selectivity for oxide etching with respect to underlying semiconductor or metal films. 3. Ion sputtering kinetics The characterization of the physical sputtering yield Plasma Surface Kinetics 171 Incident Ion (Mion, Zion) Target (Mt, Zt) Sputtered particle (charged or neutral) hν e- Collision Cascade (displacement of the lattice) (possible implantation of the ions) Incident ion (Mi, Zi) Fig. 9. Surface kinetics during sputter- ing. Etching Yield ionE       + Ar Si Ar+ Ar+ (Steinbruchel) Ar+ (Balooch) Ar+ (Tachi) 0 0.2 0.4 0.6 0.8 1 0 5 10 15 20 25 30 Fig. 10. Physical sputtering yield of polysilicon by Ar+ . at the low energy regime was attempted by employing the collision cascade model first proposed by Sigmund to characterize the sputtering yield of amorphous and polycrystalline targets. The sputtering yield can be approximated by assuming that ions slow down randomly in an infinite medium, considering elastic scattering (nuclear stopping), inelastic scattering (electron scattering), and surface binding energy. A schematic diagram of a collision cascade is shown in Fig. 9. In the low ion energy regime (< 1 kV), the binary particle interactions can be characterized by a BornMayer-type cross section, and the sputtering yield is linear to the square root of ion incident energy and can be described as following:       ⋅= it ion nition E E SCEY )( (2) Cit and Eit are constants dependent on the particular ion – target combination (Zi and Zt are atomic numbers, and Mi and Mt are masses of the ion and target atoms, respectively), and       it ion n E E S represents the nuclear stopping power. However, this model overestimates the etching yield by at least a factor of 5 at energies lower than 75 eV, where the ion incident energy is on the same order of magnitude of the surface binding energy. Therefore, the threshold energy has to be taken into account to properly model the sputtering yield at low ion energies. The universal energy dependence of ion bombardment induced etching processes proposed by Steinbrüchel is therefore used to model most of the experimental measurements. An empirical form was proposed as follows:       ⋅      ⋅= ion th it ion nition E E f E E SCEY )( (3) where the modified nuclear stopping function was further modified by Wilson and f(Eth/Eion) expanded by Matsunami, thus the etching yield can be expressed as: 2/1 ion it ion n E E E S ∝      , and 2/1 1       −=      ion th it ion E E E E f (4) )()( 2/12/1 thionion EEAEY −⋅= (5) where Eth is the threshold energy and A is a constant depending on the particular ion-target combination. Good agreement is observed by employing this universal energy Part B6172 Etching Yield Cl+ ionE Cl+ (Barone) Ar+       + Ion Si 0 0.2 0.4 0.6 0 5 10 15 Fig. 11. Sputtering yield of polysilicon by Cl+ in the low energy regime, in comparison to molecular dynamic simulation results and low energy sputtering yield by Ar+ . Cl+ Si Cl+ SiSi Fig. 12. Chemical sputtering during etching. Fig. 13. Molecular dynamic simulation of Cl+ interacting with Si [Barone and Graves]. dependence of ion bombardment induced etching processes to Ar+ etching of polysilicon, as shown in Fig. 10. In the case of reactive ion sputtering (chemical sputtering), reactive ions yield higher etching rate than that of inert ions due to the formation of volatile species with the reactive etchant. The sputtering yield of polysilicon by Cl+ is a linear function of the square root of the ion energy, as shown in Fig. 11, and is much higher than that by Ar+ ions. The extrapolated threshold energy is approximately 10 eV, which is lower than that measured by Ar+ sputtering of polysilicon (~35 eV). The reduction in threshold energy is caused by the formation of a heavily chlorinated layer that reduces the surface bonding energy and allows for subsequent incorporation of chlorine into the silicon lattice (Fig. 12). Good agreement is observed by comparing the experimentally measured sputtering yield to molecular dynamic simulation results reported by Barone and Graves (Fig. 13). They confirmed the square root ion energy dependence of reactive chlorine ion etching of silicon over the energy range of 10 to 50 eV, and observed that the etching product stoichiometry depends strongly on the ion energy. Therefore, in comparison with the spontaneous etching, higher etching rate is achieved, as shown in Fig. 14. In a sputtering process, most of the flux is ejected by momentum transfer, in which the ion bombarding the surface collides with individual surface atoms transferring momentum with each collision. The energetic collision partners are displaced and also undergo a series of binary collisions over a time period of about 10-12 seconds. Etching results when an atom at the surface receives enough energy that it can break loose from the surface potential and leave the surface. For a normal incident ion, at least two collisions are needed for the momentum's direction to be reversed and a surface atom to be sputtered. For ion bombardment at an angle of 40º or greater off normal, only one collision is needed for a surface atom to be dislodged, i.e., sputtered. As the ion incident angle exceeds 70º, the sputtering yield drops off significantly because the ion tends to reflect from the surface dissipating less energy in the collision cascade. Note that the sputtering yield is defined as the number of surface atoms removed per incident ion (Fig. 15). As momentum scales with the square root of energy, the yield also scales with the square root of the ion bombardment energy. It also increases with the mass of the ion. For Ar+ , the sputtering yield of Si is 0.5 at 1 keV and peaks at 1.0 at 3 keV. As the ion energy is fur- Plasma Surface Kinetics 173 Time Cl+ Cl Etching Rate (A/min) o Time Cl+ Cl Etching Rate (A/min) o Etching Rate (A/min) o Fig. 14. Higher etching rate achieved by reactive ions compared to reactive neutrals. malize Ion Incident Angle (degree from 0 1 2 3 4 5 0 30 60 90 Normalizedyield Ion incident angle (degree from normal) malize Ion Incident Angle (degree from 0 1 2 3 4 5 0 30 60 90 Normalizedyield Ion incident angle (degree from normal) Fig. 15. Dependence of etching yield on ion incident angles. Poly SiO2 Ion bombardment Roughened surface Poly SiO2 Ion bombardment Roughened surface Fig. 16. Formation of “grass” due to micromasking. ther increased, the cross section for scattering at the surface decreases and the sputtering drops. An ion with a greater mass dissipates a greater fraction of its momentum near the surface, increasing the probability that sputtering occurs. There is generally a threshold energy for sputtering which is a few bond strengths associated with the minimum energy it takes an ion to displace a surface atom and thus can be well correlated with other measurements of surface removal energy such as sublimation energy. A typical threshold energy is about 25 eV. Because of the angular dependence with the largest etch yield at approximately 60º, surface features with about that angle etch more rapidly, resulting in surface facets with a specific angle. An ion-bombarded surface will roughen forming surface peaks or needles that point toward the ion bombardment angle. Their conformation is due to this preferential sputtering angle and redeposition of the sputtered products. If any impurity is present that has a lower etching rate, the impurity will congregate at the point of the needles. In etching process with a large physical sputtering component, the surfaces roughen as they etch (formation of grass as shown in Fig. 16), in particular if carbon, oxide, or some other involatile material is present. Three other mechanisms occur in sputtering: thermal spikes, surface damage, and electronic excitation. In a thermal spike, the energy of the ion is dissipated as heat, resulting in a short-lived (10-8 sec), high-temperature transient located within a short distance of the ion impact. This high temperature induces surface molecules and atoms to desorb rapidly with a kinetic energy that are in equilibrium with the thermal spike temperature. This mechanism is probably not significant for the sputtering of low vapor pressure materials like metals, but could be significant in the sputtering of metal compounds that have higher vapor pressures. Electronic excitation occurs due to the tunneling of an electron to neutralize the ion just before it strikes the surface. The excited surface state (that has the energy of ionization of the incident ion) can relax by the emission of atoms in some materials. Ions therefore have a slightly greater sputtering yield than neutrals, but this difference can only be observed near the threshold-sputtering yield. The third sputtering mechanism is the creation of surface damage that degrades into molecular species after the collisional event. These molecular species can then desorb in time and leave with an energy that is characteristic of the bulk temperature of the sample. This can be Part B6174 Fig. 17. Etching rate as a function of various beams used in etching (Co- burn). Fig. 18. Ion enhanced etching of Si in Ar+ , F, and CF2 beams (Gray). thought of as an ion-induced degradation of the surface. When a surface is exposed to a flux of reactive neutrals and ions, it has been shown that an adsorbed layer of reactants can form, resulting in a halide-like surface. Thus, ion-induced plasma etching may contain similar mechanistic steps. Sputtering of a compound results in a shift in stoichiometry of the surface, i.e., the surface becomes richer in the less volatile material. For example, ion bombardment of SiO2 produces a surface that is rich in Si as the O is more volatile. This enriched layer is thin being of order of a few monolayers in thickness and increases in thickness with the ion bombardment energy. Knock-on or atomic mixing also takes place during ion bombardment. Ions striking surface atoms can drive those atoms into the lattice several atomic monolayers in depth. This process is termed knock-on and results in the mixing of layers as sputtering takes place. 4. Ion-enhanced chemical etching When a surface is exposed to both chemically reactive neutral which can react with a surface to produce a volatile product and ion bombardment the combined ion and neutral fluxes often etches more rapidly than surfaces exposed to only the neutral bombardment. Shown in Fig. 17 is a seminal beam experiment performed by Coburn in which the etching rate was monitored while XeF2 and Ar+ beams were turned on and off. It can be seen that the combination of both ions and a fluorine source results in an etching rate that is quite synergistic and exhibits an etching yield that is an order of magnitude greater than physical sputtering. Ion enhanced etching of surfaces by Ar+ , F, and CF2 has been measured as a function of reactant fluxes as shown in Figs. 18 and 19. It was shown to be a function of the flux ratios, not the absolute values of the flux. The presence of carbonaceous precursor has been shown to inhibit etching in Si as expected and to enhance the etching of SiO2. However, at high F fluxes the CF2 is removed by recombination with F to form CF4 rather than facilitating the etching of oxide (Fig. 19). Other studies have demonstrated that greater mass ion that dissipate their energy nearer the surface have a greater ion induced etching yield and that the use of atomic species increases the ion enhanced chemistry. The energy dependence of etching has been shown to vary with the square root of the ion bombardment energy indicating that the initiation of the etching process is Plasma Surface Kinetics 175 Fig. 19. Ion enhanced etching of SiO2 in Ar+ , F, and CF2 beams (Gray). Fig.20. Etching of silicon with Ar+ and Cl2 (Coburn). Si Cl+ Cl Cl2 Si Cl+ Cl Cl2 Fig. 21. Ion-enhanced etching process. a function of the ion momentum as in physical sputtering. Many researchers, based on this observation suggested that the increased etching yield is due to a reduced binding energy of the surface species, i.e. the chemical reaction with the neutrals leaves the surface atoms more loosely bound. However, the surface residence time for the emission of the products has been measured to be about 10−4 seconds, 8 orders of magnitude too large for a physically dominated sputtering process. While physically enhanced etching does occur, the dominant mechanism appears to be the subsequent chemical reactions that occur after the collision cascade. These beam studies are even more significant enhancement in the etching of Si with Cl2 for which spontaneous etching does not occur at room temperature. A coverage of approximately a monolayer of Cl is chemisorbed on the surface in the absence of ion bombardment. The quartz crystal microbalance data shown in Fig. 20 shows an initial weight gain and then subsequent etching. The weight gain indicates that Cl is incorporated into the surface by ion bombardment increasing the Cl content. The ion induced etching rate increases with the Cl content. From this data, the incorporation of several monolayer equivalents into the surface at steady state is indi- cated. To simulate more realistically the chlorine plasma, the ion-enhanced silicon etching yield was characterized as a function of Cl atom to Cl+ ion flux ratio at three ion energy levels. This process and its effect are schematically illustrated in Figs. 21 and 22, while the experimental results are shown in Figs. 23 and 24. In Fig. 23, an initial sharp rise in the etching yield was observed at low flux ratios where reaction was limited by the supply of reactive neutrals. The etching yield then gradually saturated as the reaction became ion-flux limited at high flux ratios. The etching yield was a function of the square root of ion energy. The dotted lines are fits from a surface kinetics model detailed in Eq. (6), as shown later. In Fig. 24, the effect of ion incident angle shows no significant change as the ion incident angle increased from normal to 40° off-normal, but decreased by 30 % and 50 % at 60° and 70° off-normal, respectively. This angular dependence of ion-enhanced polysilicon etching can be incorporated into a profile simulator to simulate the etching of the sidewalls. It can be seen that unlike the physical sputtering curve shown also, the chemical enhance etching yield does not vary with ion bombardment angle until greater than 40°. This insensitivity to angle Part B6176 Time Cl+ Cl+ and Cl Cl Etching Rate (A/min) o Time Cl+ Cl+ and Cl Cl Etching Rate (A/min) o Etching Rate (A/min) o Fig. 22. Ion-enhanced etching increased the etching rate by order(s) of magnitude.       + Cl Si Etching Yield 75eV Cl+ and Cl Flux Ratio       + Cl Cl 55eV Cl+ and Cl 35eV Cl+ and Cl 0 1 2 3 4 0 100 200 300 400 Fig. 23. Ion enhanced polysilicon etching by Cl atoms with Cl+ ions as a function of ion energy and neutral to ion flux ratio. Ion incident angle φ (degree from normal)       + Cl Si Etching Yield Cl/Cl+=200 0 0.5 1 1.5 0 30 60 90 Fig. 24. Angular dependence of the etching yield for ion enhanced etching of Si with combined Cl and Cl+ fluxes. allows the etching of features with smooth bottoms. The more rapid drop off with greater angles is thought to be due to ion removal of the adsorbed Cl reducing the chlorination of the surface, and thus, etching rates. The reduction of etching rates caused by deposition/redeposition of the etching products and by-products was explored by adding a SiCl2 beam -- SiCl2 is produced by etching or by electron impact dissociation of SiCl4 in the plasma. It is known that the concentration of etching products can build up to appreciable levels (~10% of the Cl flux which are inversely proportional to the flow rate). This approach permits a thorough understanding of the fundamental reaction mechanisms and allows formulation of a kinetic model useable in a profile simulator to model the profile evolution during plasma etching processes. The sticking coefficient of SiCl2 to form a stable SiClx film was calculated to be approximately 0.3 based on the measurement of the incident dichlorosilane beam flux and the SiCl2 deposition rate observed by laser interferometry using the index of refraction of polysilicon. As the flux of SiCl2 has been estimated to be on the order of 10% of the Cl flux in high density and low-pressure polysilicon etching processes, use of this sticking coefficient would suggest deposition rather than etching of polysilicon. Figure 25 shows the effect of SiCl2 on chlorine ion-enhanced etching yield in the presence of Cl+ and in the presence Cl+ and Cl. The y-axis represents the etching rate measured with increasing SiCl2 fluxes. Etching of the polysilicon by Cl+ or Cl+ and Cl was suppressed significantly by SiCl2; however, the apparent deposition probability of SiCl2 with Cl+ was on the order of 0.01, and with Cl+ + Cl was 0.05. This indicates that the apparent sticking probability of SiCl2 was greatly reduced by the chlorination and/or other modification of the surface caused by the Cl+ and Cl fluxes. A phenomenological model that accounts for the energy, flux and angular dependencies of Cl+ ionenhanced polysilicon etching with Cl was constructed for use in profile simulators. Since many reaction mechanisms occur simultaneously and are convoluted, a simplified model was used to represent the overall kinetics and is presented in Table 2. The overall sticking coefficient of Cl is s, β is the ion-enhanced reaction probability, Yo is the sputtering yield of Cl+ , the ion flux. The energy, flux and angular dependencies of Cl+ ion-enhanced polysilicon etching with chlorine can be represented by the following equa- tion: Plasma Surface Kinetics 177 0 0.4 0.8 1.2 0 10 20 30 Flux Ratio       + Cl SiCl 2       + Cl Si Etching Yield Cl+ alone with SiCl2 Cl/Cl+ = 200 with SiCl2 Fig. 25. The effect of SiCl2 on chlorine ion-enhanced etching yield in the presence of Cl+ and in the presence Cl+ and Cl. Table 2. Simplified phenomenological surface kinetics model for Cl+ ion-enhanced polysilicon etching of polysilicon with Cl. ∗+ →+ →∗+ →∗+ + + 44 )(4 )(, )()( )( )( )( )()( g Clc ss s c g s s g SiClClSi ClCl ClCl φβ φ # of wafers 4 Ro/ Rm 3 2 1 0 0 2 4 6 8 10 CF4/O2 CF3Br/He CF3Cl # of wafers 4 Ro/ Rm 3 2 1 0 0 2 4 6 8 10 # of wafers 4 Ro/ Rm 3 2 1 0 0 2 4 6 8 10 CF4/O2 CF3Br/He CF3Cl Fig. 26. Loading effect for polysilicon etching using CF4/O2, CF3Br/He, and CF3Cl plasmas (Flamm). [ ] [ ]ClCl itotal YC YYCY θβθφ φ ⋅+−⋅⋅= +⋅= )1()( )( 0 0 (6) where C(φ) is a constant representing the angular dependence, φ is the ion incident angle, and θ, the surface coverage of chlorine, is a function of the neutral to ion flux ratio. All three parameters, s, β, and Yo, of the model scale linearly with the square root of ion energy and can be incorporated into a profile simulator to predict feature evolution in high-density plasma etching processes. A detailed description of the model can be found in Chang et. al. JVST A 16(1), 217 (1998). III. LOADING It is generally observed that in a plasma etching process the etching rate drops as the etching area exposed to the plasma increases. This decrease in etching rate is true for processes that consume a major portion of the reactive species created in the plasma. Processes that are limited by the surface reaction kinetics do not exhibit this behavior. In processes where a loading effect is observed, the etching rate is typically proportional to the concentration of the reactant. For the case of etching m wafers with a plasma that produces single etching species, the loading effect is given as s ww m o Ak kA m R R +=+= 11 φ (7) where Ro is the etch rate in an empty reactor, Rm is the etch rate with m wafers present. Note that A and Aw are areas of the reactor surface and a wafer respectively, while kw and ks are the rate coefficients for reactant recombination at the reactors surfaces and of the reaction at the wafer respectively. Therefore φ is the slope of the loading curve as shown schematically in Fig. 26. Flamm et. al. have shown that a two etchant model is necessary when dealing with etchants such as ClF3, in which there are appreciable concentrations of both F and Cl. As can be seen from Fig. 27, the loading effect can be minimized by increasing the amount of recombinant surface or by using a better recombinant surface, but with a sacrifice in etching rate. Increasing the gas flow rate can reduce the loading effect if the gas utilization is high, i.e., if the amount of material that can be etched, given the stoichiometry of the reaction and the flow rate, is approaching that given by the product of the etching rate and the exposed area. Part B6178 Fig. 27. SiO2 RIE etching rate versus CF4 flow rate. Fig. 28. Micro-loading effect. 0 1 2 3 4 0 50 100 150 200 0.0 0.1 0.2 0.3 0.4       + Ar SiO2 Etching Yield       + Ar Si Etching Yield       + Ar Cl Flux Ratio Poly SiO2 Fig. 29. Etching yield of polysilicon and silicon dioxide by Ar+ and Cl as a function of Cl to Ar+ flux ratio. Shown in Fig. 27 is a set of curves that demonstrate the effect of flow rate on etching. It should be noted that if the utilization is high, it may show up as a lack of dependence on power. At very low flows, a typical process will respond linearly with flow rate at a given power, with higher flows, the etching rate of increase will become slower and go through a maximum. At very high flows, etching rate decreases, as un-reacted species are carried away. Similarly, micro-loading is also observed in the microelectronics fabrication, which refers to the different etching rate across a wafer when there are densely populated lines and isolated lines coexisting on the wafer, exposing to the plasma. Similar to the well known effect that the average etch rate depends on how many silicon wafers that have to be etched, the area of exposed silicon at a local scale causes the variation in the etching rate, as shown in Fig. 28. The differences in local pattern density will make one area of a wafer etch at a different rate than others, and it is necessary to take these effects into consideration when designing the device layouts. IV. SELECTIVITY Plasma is seldom used to etch blanket films in microelectronics fabrication. The etching of photoresist and the underlying substrate is also important since the etching selectivity is never infinite and aspect ratio dependent etching often causes some etching of the underlying substrate or thin film. Using the etching of polysilicon gate as an example, the etching will result in some etching of photoresist and needs to stop on the thin gate oxide (SiO2). The etching selectivity between Si and SiO2 measured by 100 eV Ar+ and Cl is approximately 30, as shown in Fig. 29. Higher selectivities for polysilicon with respect to silicon dioxide or photoresist are desired for patterning finer features. Addition of 1% oxygen to a chlorine plasma is found to reduce the etching rate of silicon dioxide significantly, and increase the selectivity of polysilicon over silicon dioxide to 70. The angular dependence of etching polysilicon differs from that of SiO2 with 100 eV Ar+ and Cl, as shown in Fig. 30. The etching yield measurements are taken at a constant flux ratio where the etching yield of either Si or SiO2 is considered “saturated”. The etching yield of polysilicon at a flux ratio of 600 exhibits no significant dependence on the angle of incidence from normal to 40° off-normal, but decreases by 35% at the angle of 60° off- Plasma Surface Kinetics 179 0 1 2 3 4 0 30 60 90 0 0.1 0.2       + Ar SiO2 Etching Yield tching Yield Poly SiO2 Ion Incident Angle φ (degree from normal)      + Ar Si Fig. 30. Etching yield of polysilicon and silicon dioxide by 100 eV Ar+ and Cl as a function of ion incident angles. e − CF3 + , CF3 − CF2 + CF + F + , F − e − e − e − e − e − + CF4 F, F2 e − CF3 F, F2 e − CF2 F e − CF F e − C + F CF3 e − CF2 e − CF e − C2F6 C2F4 C2F2 F2 e − CF3 + , CF3 − CF2 + CF + F + , F − e − e − e − e − e − + CF4 F, F2 e − CF3 F, F2 e − CF2 F e − CF F e − C + F CF3 e − CF2 e − CF e − C2F6 C2F4 C2F2 F2 Fig. 31. Abbreviated reaction scheme for CF4 discharge (Kushner). normal. Maximum etching yield at near normal ion incident angles is attributed to the rapid implantation of reactive atoms into the substrate with normally incident ions. The normally incident ions consequently create mixing of the absorbed surface atoms into the lattice, induce surface chlorination, and achieve maximum etching yield at near normal ion incident angles. However, etching of silicon dioxide is mainly ion-driven, as chlorine incorporation into the SiO2 film is limited. Ions physically sputter oxygen and silicon, allow chlorine to react to silicon, and achieve subsequent slight enhancement in the etching yield. It is necessary to incorporate proper angular dependence for each material into a profile simulator to model the surface topography evolution during the plasma etching processes. V. DETAILED REACTION MODELING To understand the complex reactions in the plasma, Kushner constructed “complete” kinetic models for the etching of Si and SiO2 in CnFm/H2 and CnFm/O2 plasmas, by balancing the surface reactions. He was able to fit the experimental observations for these systems; however, the model included a large number of reactions with unknown rate coefficients that were estimated. Shown in Fig. 31 is an abbreviated reaction scheme for a CF4 discharge. The kinetic modeling approach is a useful research technique, but it suffers from a lack of appropriate rate coefficients. To be successful, the necessary rate coefficients must be determined and the surface kinetics must be developed. Probe measurements, such as optical emission or mass spectrometry, have the capability to measure the concentration of the gaseous species as a function of operating conditions, and therefore could be used to experimentally determine effective rate coefficients for many of the important reactions. The rate coefficients would be determined by fitting reaction models that adequately describe the data. Although such a model does not necessarily give a mechanistically accurate picture of the plasma chemistry, it can be used to make some predictive calculations and to optimize the process efficiently. This approach has been used thus far to explain qualitatively restricted phenomena that have been ob- served. For example, Flamm has used optical emission to identify F as the primary etchant species for Si in a CF4 plasma and to explain the rate variation with the introduction of O2. He explained the increased etching with O2 addition by its reaction with CFn radicals in the plasma to Part B6180 RelativeRate/Intensity O2% 0 1 0 20 40 60 F emission Etching rate RelativeRate/Intensity O2% 0 1 0 20 40 60 F emission Etching rate Fig. 32. The etching rate of Si and 703.7 nm emission from excited F as a function of the oxygen concentration in a CF4/O2 reactor. produce F for etching. The optical emission used to support this claim shows a correspondence of the etching rate with the F emission (schematically shown in Fig 32). The reduction of emission with high O2 concentrations was explained in terms of dilution of the plasma. Flamm developed a simplistic, mechanistic framework to correlate the free radical chemistry of halocarbon/oxidant plasmas. Mechanistically, it offers an easy way to remember the dominant atomic species which exists in plasma with two or more halogens. This model is based upon the concept that three types of species exist in this etching system: • Saturates: species such as CF3 • Un-saturates: species such as CF2 • Atoms/oxidants: the etchants species which react with the saturates, un-saturates, and surface In the presence of unsaturates, deposition occurs. The model is formulated as 1. e− + halocarbon → saturates + unsaturates + atoms (e.g., e− + CF3Br → CF3 + CF2 + Br + F) 2. atoms/molecules + unsaturates → saturates (e.g., F + CF2 → CF3) 3. atoms + surface → chemisorption or volatile products (e.g., F + Si* → Fsi* → SiF4) 4. unsaturates + surfaces + initiating radicals → films (e.g., CF2 + Si → SiCF2* + CF2 → SiCF2CF2 ……) For example, in the case of a CF3Br plasma, both F and Br atoms are formed by electron impact dissociation. The F atoms produced would be depleted from the plasma more rapidly than the Br through reaction with CF2 leaving the predominant atomic species to be Br. The addition of oxygen to a CF4 plasma reduces the number of unsaturates and inhibits the formation of a polymer film. The oxygen will also replace some of the fluorine that is bonded to carbon-bearing species, and the concentration of F increases. If large enough amounts of oxygen are added, the unsaturates can be consumed to the point that O is present as well as F within the plasma. At these levels (> 25%), the O can adsorb on a surface, forming an oxide. This model correctly predicts the atomic species that is most apparent in the optical emission spectra. It also predicts the formation of polymer at lower concentrations of oxidants. However, it has been shown that the reactions do not occur in the gas phase, rather, the surface Plasma Surface Kinetics 181 Fig. 33. Computation of products of fluorocarbon + oxygen discharge. processes dominate. In addition, it has been shown that CF2 is often present in high concentrations because of its low reaction probability. CF2 is technically not a free radical, the carbon rehybridizes to fill three orbitals and while one is completely vacant of electrons. To react, the carbon must rehybridize, making its sticking probability for formation of polymer much slower than CF or CF3. Many papers in the literature have hypothesized that CF2 is the dominant species for oxide etching because it has such a large concentration. In fact, it has a high concentration because it reacts very slowly! The main polymer forming species are typically CF3 and CF. In the past few years, reaction sets for CF4 and other chemistries have been developed. Although they are not necessarily complete, they typically are able to identify and explain trends observed experimentally. Databases by NIST and others have been compiled and kinetic codes such as ChemKin III are available in the public domain. Examples of these results for C2F6 + O2 discharges are shown in Fig. 33. This result explains the observation that CF4 is formed in fluorine-carbon containing discharges for typical process conditions in which the neutral gas temperature is low. At higher neutral gas temperatures, the formation of CF4 can be avoided. This result is caused by a combination of thermodynamics which favors the formation of CF4 at lower temperatures and COF2 at high temperatures, as well as the kinetics of gas and surface phase reactions in which CFx, O, and F radicals recom- bine. Feature Evolution and Modeling 183 w d ww d Fig. 1. Patterned thin film. wo w d EPR Es Efh Efv w’ wo w d EPR Es Efh Efv w’ Fig. 2. Pattern transfer and bias. PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B7: FEATURE EVOLUTION AND MODELING I. FUNDAMENTALS OF FEATURE EVOLUTION IN PLASMA ETCHING Plasma processes etch anisotropically and are used to transfer patterns from photoresist to the underlying thin film materials or substrates. There are many important parameters in plasma etching, as listed below. The greatest challenge in patterning features for microelectronics application is that each parameter can usually only be optimized at the expense of at least one of the others. 1. Critical dimension (CD) uniformity. Uniformity across the wafer -- including densely populated areas and large open spaces, and within high aspect ratio features -- is critical to maintain consistent device performance. The uniformity is generally defined as the maximum difference in etching rates over a wafer and/or within an etcher or from batch to batch. Dividing by the average etching rate most often normalizes the variation. Processes that are categorized as “good” have uniformities in all of the above categories of less than ± 3%. 2. Anisotropy. It’s usually desirable to have an anisotropic profile, where the etched feature edges are close to vertical, to maximize packing density on the chip. The etching anisotropy is defined as the ratio of the vertical dimension change to the horizontal change: 0.5( )o d A w w = ′− as shown in Fig. 1 and 2. A perfect isotropic etching would have an anisotropy of 1, while processes with no horizontal etching have an infinite anisotropy. 3. Selectivity. Defined as the ratio of the etch rate of one material versus that of another. The selectivity of thin film (f) to photoresist (PR) and the underlying substrate (s) or another film (Fig. 2). Part B7184 Fig. 3. Etching non-ideality: faceting. Fig. 4. Etching non-ideality: trenching. Fig. 5. Etching non-ideality: micro-trenching through the underlying layer. Fig. 6. Etching non-ideality: bowing. Fig. 7. Etching non-ideality: notching. _ _ f f PR PR f f s s E s E E s E = = Note that the etching rate of the thin film has two components: the horizontal and vertical etching rates. The selectivity of the material being etched to the overlying masking photoresist layer is usually of the most concern, since this impacts CD and profile control, and the thickness of resist required (thinner photoresist is desired to adequately resolve smaller feature sizes, so selectivity must increase as geometry shrinks). 4. High etch rate. It is needed to keep the throughput of the system or process module high (usually measured in Angstroms/min). There is usually a tradeoff between etch rate and other parameters, such as selectivity and damage. 5. Etch profile control. “Bias” in etching processes is defined as the change in dimension of the feature being etched caused by the lack of infinite anisotropy, over-etching, and/or resist etching. Other etching anomalies include faceting, trenching, microtrenching, bowing, and notching, as shown in Fig. 3-7. 6. Damage. Plasma damage is an obvious concern, especially during gate stack formation, which will be detailed later in the Epilogue. 7. Sidewall passivation. Passivation is important both during and after the etch. Carbon from the photoresist mask typically combines with etching gases and etch byproducts to form a polymer-like material on the sidewall of the feature (Fig. 8 and 9). 8. Residues. Residue which coats the interior of the etch chamber is a difficult problem to avoid. In addition to requiring more frequent cleaning, residue is also a source of contamination. The most significant factors in controlling residue are temperature, bottom rf power, backside cooling and process pressure. 9. Unwanted features. Stringers, fences, veils, and Feature Evolution and Modeling 185 Thick polymer deposition Thick polymer deposition Fig. 8. Sidewall polymer deposition. Thick polymer deposition Before ashing After ashing Thick polymer deposition Before ashing After ashing Fig. 9. Sidewall polymer depostion before and after ashing. Initial structure No overetch Conformal layer T 2T Stringer Initial structure No overetch Conformal layer T 2T Stringer Fig. 10. Stringer formation during etching of highly conformal films. Fig. 11. Sheath potential as a function of pressure. crowns are unwanted “decorations” that are sometimes left after an etch. For example, in patterning metal interconnect metal lines on nonplanar surfaces, metal stringer may exist and short adjacent lines (Fig. 10). 10. Corrosion. It is mainly a problem in metal etch. Upon exposure to water vapor (i.e., air), chlorine in the photoresist will immediately attack the aluminum. 11. Particle control. Right now particle concentration fewer than 0.02 particles/cm2 that are > 0.18 µm in size is required. It has long been known that the topography of etched surface features is a function of primarily the power and pressure. For a sheath potential Es, and a pressure p, the etching directionality is affected by mainly the ratio of Es to no, the neutral density. Other parameters such as ion temperature and ionneutral collision cross-sections are also important. It should be noted that Es/no is equivalent to Ee/p in terms of the physical system. The trends of anisotropy is a function of power and pressure, as shown schematically in Fig. 11. II. PREDICTIVE MODELING The ever-shrinking device dimensions with corresponding higher aspect ratios have made profile control in plasma etching processes a much more difficult task. These phenomena include variation of the etching rates in reactive ion etching (RIE lag), variation of etching profile shapes (bowing, faceting, trenching), variation in selectivity to the underlying film, and variation in film morphology. At the same time, etch rates need to be maximized while minimizing the device damage to make the etching processes economically viable. Therefore, the simulation and prediction of etching profile evolution becomes increasingly important to ensure the success of a deep-submicron etching process. Predictive profile simulation has been long sought as a means to reduce the time and cost associated with trial-and-error process development and/or equipment design. Profile control is one of the most important aspects in pattern transfer as it determines the success of subsequent deposition processes and Part B7186 Fig. 12. Illustration of aspect ratio dependent etching. Fig. 13. Etching rate variation with hole sizes. ultimately the device performance. To date, simulation work has given invaluable insight into the surface profile evolution during ion-enhanced plasma etching, using various techniques such as string algorithm, characteristics method, shock-tracing method, and direct simulation Monte Carlo (DSMC) method. Dominant reaction mechanisms incorporated in these simulators include ion induced etching and ion reflection. More recently, localized surface charging effects and redeposition on the sidewalls have also been taken into account. Commonly observed high-density plasma etching peculiarities such as bowing, tapering, undercutting, trenching and micro-trenching have been predicted as different physical or chemical mechanisms are incorporated. However, comparing simulation results to etching profiles can not unambiguously determine the dominant surface phenomena as the profile evolution is often a function of the difference between several mechanisms, e.g. competition between etching and deposition processes. The profiles can often be fitted using more than one set of surface kinetics. Therefore, a comprehensive understanding of the dominant plasma-surface reaction pathways is needed to develop a general, predictive profile simulator. The use of beam studies in which the fluxes are well characterized to measure unambiguously the etching kinetics is valuable in determining the functionality of reactive species at specific, well-defined etching conditions, which can be generalized to the much more complex plasma environ- ment. III. MECHANISMS OF PROFILE EVOLUTION The etching of features is dominated by processes which are dependent upon the feature aspect ratio, i.e. the feature depth to width, as shown in Fig. 12. The etching rate of smaller features is slower compared to that of larger features. This is called Aspect Ratio Dependent Etching (ARDE) or Reactive Ion Etching lag (RIE lag), as shown in Fig. 13. RIE lag in which features of high aspect ratio etch more slowly is typically caused by the reduction of ions or neutrals to the bottom of the feature. This reduction is a combination of both the reduced view factor of the higher aspect ratio feature and the charging within the feature. Lowering the process pressure makes the sheath more collisionless, thereby Feature Evolution and Modeling 187 bulk plasma mask poly-Si oxide sheath SiO2 E ee- e- e- e- e- } + SiCl4 + + + + + + + e _ Cl+ Cl Cl2 SiCl2 + ↑→+ + 44 SiClClSi Cl bulk plasma mask poly-Si oxide sheath SiO2SiO2 E ee- e- e- e- e- } ++ SiCl4 ++ ++ ++ ++ ++ ++ ++ e _ Cl+ Cl Cl2 SiCl2 + e _ Cl+ Cl Cl2 SiCl2 ++ ↑→+ + 44 SiClClSi Cl Fig. 14. Non-ideality in plasma etching: (a) ion distribution is not uni-directional and (b) photoresist is etched and re-deposited. oxide poly-Si oxide oxide poly-Si oxide oxide poly-Si oxide oxide poly-Si oxide Fig. 15. Ion trajectory changes causes microtrenches. increasing the ion directionality. The greater ion directionality reduces the dependence of the flux on the view factor. Increasing the ion energy also increases the ion directionality in a high-density, low-pressure plasma. The ion energy dispersion is determined by the collisions in the presheath. Greater acceleration in the sheath results in an increased directionality. The effect of surface charging on the ion flux to the bottom is determined by the aspect ratio of the feature and the ion to electron energy ratio. The greater the energy ratio, the less charging there is. Since all of the above mentioned phenomena scales with the feature aspect ratio, the etching profiles are typically modeled to reduce the number of experiments that must be performed and to project the effects to other sized features. As the minimum line width decreases RIE Lag and Inverse RIE Lag, in which small features etch more rapidly, become more significant. The reduction of RIE lag has driven the industry to low pressure, high-density plasma sources. Here, the important parameters affecting the evolution of features during etching and deposition are summarized: 1. Ion bombardment directionality The ion bombardment directionality is primarily a function of the collisionality of the sheath (i.e., its thickness in terms of mean-free-path lengths) as discussed in the section on ion bombardment energy and angular dispersion. The view factor to the plasma and the ion angular/energy distribution determines the flux on each element of the feature surface. Reactive ion etch lag (RIE lag) in which smaller features (i.e. a high depth to width ratio) etch more slowly is the primarily caused by this effect. 2. Ion scattering within the feature The energetic ions can scatter from the surface features and produce significant fluxes at other parts of the surface profile. Trenching, the more rapid etching of the surface at the base of the sidewall, is one artifact of ion scattering. If the resist does not have a vertical profile or becomes faceted, ion scattering onto the opposite sidewall and undercutting can also be observed due to ion scattering. The significance of ion scattering is both a function of the slope of the feature sidewalls during etching and the angular dispersion of the ion bombardment. Obvi- Part B7188 photoresist poly-Si oxide photoresist poly-Si oxide Fig. 16. Surface deposition/re-deposition modifies the etched profile. Fig. 17. Surface charging. Fig. 18. Computed potential build up on the surface as a function of position for an isotropic electron flux with a temperature of 5 eV and an anisotropic ion flux of 100 eV. The potentials are for a feature with an aspect ratio of 2. Note that in this figure –0.5 and 0.5 is the bottom, ±(2.5 to 0.5) is the sidewall, and ±(4.5 to 2.5) is the top. ously, with highly directional ion bombardment and vertical features, artifacts such as trenching occur. However, with nonvertical sidewall features, ion bombardment with a greater angular dispersion can produce less trenching, because the scattered ions are more dispersed. As shown in Fig. 15, because ion scattering within the features occurs at impingement angles greater than 40° off normal of the profile surface, this scattering can lead to the formation of microtrenches near the base of a sidewall where the ion flux is the largest. The ion flux striking the feature bottom is the sum of the direct flux from the plasma and the flux reflected off the sidewalls. Simulation of the over-etch step using this non-uniform ion flux at the feature bottom, demonstrates that the oxide layer is broken through at the positions with the highest ion flux. Microtrenches are formed in the underlying silicon substrate once the thin oxide is etched through. 3. Deposition rate of passivants from the plasma Passivation is essential in highly directional etching processes to prevent spontaneous etching as discussed earlier. The passivation can be produced by production of depositing species in the plasma such as CF which plates out on the surface features to build up a polymeric passivant layer. These can originate from the introduction of gases such as CHF3 or the etching of photoresist. Stable volatile products such as SiCl4 can also be broken up by the plasma to produce depositing species such as SiCl2. The effect of photoresist erosion was directly related to redeposition and etching directionality. The presence of excess amounts of passivant deposition leads to the narrowing of features, i.e. “tapered” in which the sidewall tapers out into the unmasked region. The thick sidewall passivation acts as a mask for the next increment of etching. The presence of such a tapered feature also affects ion scattering, which can alter the etched profiles. As shown in Fig. 16, micro-tenching is eliminated when photoresist is used as the mask (compared to a hard mask), due to the redeposition modifying the slope of sidewalls and altering the etched profile. 4. Line-of-sight redeposition of products The non-volatile etching products (from etching of photoresist or thin films) can also re-deposit and modify the feature profile. Line-of-sight proc- Feature Evolution and Modeling 189 Fig. 19. Computed flux to the feature for the feature with an aspect ratio of 2. The dotted line indicates the expected flux if the charging had not occurred. Charging is shown to reduce the ion flux to the bottom of the feature and enhance the flux to the sidewalls. bulk plasma + photo- resist poly-Si oxide E ee- e- e- e- e- sheath + Cl+ Cl Cl2 SiCl2 + + + + + + + + - - - - - - - - - - + + + + + + + + + bulk plasma ++ photo- resist poly-Si oxide E ee- e- e- e- e- sheath ++ Cl+ Cl Cl2 SiCl2 + + + + + + + + - - - - - - - - - - ++ ++ ++ ++ ++ ++ ++ ++ ++ Fig. 20. Formation of notch at the end of the etching of a conducting materials on an insulating material. esses can be very important in narrow features. Note that the mean free path is long with respect to any feature size in most of the plasma etching processes. Therefore processes on one surface can couple directly with those on any other surface which has a non-zero view factor. For example, the lack of sidewall passivation from sputtered photoresist from the next line can lead to undercutting and “mouse bites” on the outside sidewall of a line. A mouse bite is the breakthrough of the sidewall passivation allowing rapid spontaneous etching that produces a cavity. When observed in an SEM, it looks like a mouse bite into a line. Excess deposition of product on the sidewall of the photoresist can lead to the formation of involatile products, e.g. SiO2 after ashing. The removal of the photoresist, but not the sidewall passivants causes the formation of “ears” on the line, as shown previously in Fig. 9. 5. Charging of surfaces in the features Features can become charged by two different mechanisms, as detailed in Figs. 17-19. First, in the presence of a magnetic field a plasma can support a potential gradient across a wafer. As the wafer is conductive or the film being etched may be conductive, the potential of the conductive wafer will be uniform. The photoresist and/or other insulating surfaces can charge to different potentials where the net flux of ions and electrons from the plasma is neutral, if little surface leakage or bulk film conductivity occurs. Under these conditions, the charging of the features can lead to different etching profile across the wafer. A second mechanism for charging is caused by the differing angular dispersion of the ions and the electrons. The positive ions are highly directional compared to the electrons which act as a free gas and would be “isotropic”. Since the electrons are captured with a probability approaching unity, their true distribution is near that of a gas incident on a surface which is cosine with respect to the surface normal. The steady state condition must have a net equal flux of electrons and ions for a perfectly insulating surface. The surface potential builds up until that is achieved. This phenomenon is a function of the geometry of the surface (aspect ratio), the ion directionality, the ion energy, and the electron energy. Because of the differing flux at the edge compared to Part B7190 -4 -3 -2 -1 -1 00 0 0 0 0 0 1 1 1 1 1 1 2 2 2 2 2 2 3 3 3 3 3 3 4 4 4 55 5 57.5 7.5 10 1012.5 15 Mask Poly Oxide Si σb’ = 104 σb’ = 104 σb’ = 0.001 σb’ = 0.001 -4 -3 -2 -1 -1 00 0 0 0 0 0 1 1 1 1 1 1 2 2 2 2 2 2 3 3 3 3 3 3 4 4 4 55 5 57.5 7.5 10 1012.5 15 Mask Poly Oxide Si -4 -3 -2 -1 -1 00 0 0 0 0 0 1 1 1 1 1 1 2 2 2 2 2 2 3 3 3 3 3 3 4 4 4 55 5 57.5 7.5 10 1012.5 15 -4 -3 -2 -1 -1 00 0 0 0 0 0 1 1 1 1 1 1 2 2 2 2 2 2 3 3 3 3 3 3 4 4 4 55 5 57.5 7.5 10 1012.5 15 Mask Poly Oxide Si Mask Poly Oxide Si σb’ = 104 σb’ = 104 σb’ = 0.001 σb’ = 0.001 Fig. 21. Potential profile in a feature with an “insulating” bottom and “conducting” poly sidewalls. Potentials approaching ion energy develop at feature bottom corner; negative potentials at top corner. SiO2 Si SiO2 Si SiO2 Si SiO2 ⇒ ⇒ PRPR PR + Cl + + Cl + + Cl + SiO2SiO2 Si SiO2SiO2 Si SiO2SiO2 Si SiO2SiO2 ⇒ ⇒ PRPRPRPR PRPR + Cl + ++ Cl ++ + Cl + ++ Cl ++ + Cl + ++ Cl ++ Fig. 22. The passivation material barrier is removed and spontaneous chemical etching occurs, leading to the notch formation. the interior of a set of lines and spaces, the edge lines can etch differently. Often the edge line will receive more passivant on the outside of the line because of the larger view factor for neutral passivant deposition. This mitigates the effect of the excess ion flux on the outside of the line, but can lead to excess flux on the inside sidewall of the outside line. In addition, it is particularly important for conditions with lower ion bombardment energy and higher electron energy which is characteristic of most new high density plasma sources. The charging can be several times that of the electron energy for aspect ratios of 2 and therefore can be a few tens of volts. As the potential can build up to a few tens of volts, this charging may also contribute to gate oxide rupture. In gate oxide rupture, the potential across a gate during an etching process exceeds a threshold value and current passes, thereby damaging the oxide. Surface charging can also contribute to RIE lag, trenching, and barrel etching artifacts. In addition, the increased flux of ions at the foot of the sidewall can lead to rapid undercutting. Thinning of the passivant at the bottom because of charging also may lead to the spontaneous etching of the siliconoxide interface, causing the formation of a notch. The chemical etching is more rapid because the stress at the interface accelerates the rate of spontaneous etching, and the high stress state of the interface is believed to cause spontaneous etching in undoped and p-type polysilicon (Fig. 20-22). IV. PROFILE SIMULATION Numerous models for profile evolution in plasma etching and deposition can be found in the literature. All of these models depend upon the user entering into the model, information for the etching or deposition kinetics, e.g. ion enhanced etching rate, ion directionality, spontaneous deposition rate, sticking probability of reactants, etc. Various algorithms are then used to compute the movement of the surface with time and display it. The most common programs in use are 3-D Simulator (UIUC), SAMPLE (Berkeley), EVOLVE (RPI) and SPEEDIE (Stanford). Each of these models presently have the capabilities to include certain physical mechanisms that were discussed above. Monte Carlo Profile Simulator An example of Monte Carlo simulation is given Feature Evolution and Modeling 191 adsorption desorption scattering collision knudsen diffusion adsorption desorption scattering collision knudsen diffusion Fig. 23. Concept of DSMC. PR poly-Si SiO2 Source plane ClCl+ PR poly-Si SiO2 Source plane ClCl+ 2-D Fluxes (3-D for scattering angle) Surface Kinetics (based on kinetic model) Local fluxes Cl+ and Cl fluxes Local etching yield Profile Evolution (based on 25-50 A grid removal) o PR poly-Si SiO2 Source plane ClCl+ PR poly-Si SiO2 Source plane ClCl+ 2-D Fluxes (3-D for scattering angle) Surface Kinetics (based on kinetic model) Local fluxes Cl+ and Cl fluxes Local etching yield Profile Evolution (based on 25-50 A grid removal) o Fig. 24. Schematic drawing of Monte Carlo simulation PR poly-Si SiO2 PR poly-Si SiO2 “ Un-shadowed ” “ Shadowed ” Cl+ ClCl+ Cl PR poly-Si SiO2 PR poly-Si SiO2 “ Un-shadowed ” “ Shadowed ” PR poly-Si SiO2 PR poly-Si SiO2 “ Un-shadowed ” “ Shadowed ” Cl+ ClCl+ Cl Fig. 25. Etched profiles for simulation evaluation. here to explain the feature evolution modeling. The simulation domain spanned the centerlines of neighboring photoresist lines that defined the trench to be etched (Fig. 23 and 24). The domain was discretized into square cells with 50Å sides, a dimension comparable to the surface chlorination layer depth caused during ion induced etching processes. Trajectories of individual highly directional ions and isotropic neutrals were tracked from the source plane until they encountered a surface. At the surface, the reactant species particle could either scatter or stick. Using this Monte Carlo algorithm permitted us to incorporate the dominant physics and chemistry of the etching process easily by using kinetically based probabilities for each surface process and performing an elemental balance for cell each time the surface interacted with a reactant species. The surface probabilities incorporated in the simulator were based on the surface kinetics models developed. They included angle-dependent ion-enhanced etching, sputtering, ion reflection, recombination of neutrals on surfaces, deposition from the source plane and redeposition of etching by-products. Whenever a surface cell was etched or deposited, the surface was redefined. Patterned polysilicon samples were etched in the beam apparatus to generate test structures for modeling confirmation. The samples were etched by 35 eV Cl+ at a neutral-to-ion flux ratio of 200. The patterned samples were aligned so that the patterned lines were either parallel or perpendicular to the beam plane, as shown in Fig. 25. When the patterned lines were parallel to the beam plane, the photoresist did not shadow the beam fluxes producing uniform incident fluxes of both Cl+ and Cl at the bottom of the feature. However, when the patterned lines were perpendicular to the beam plane, the photoresist “blocked” the Cl beam flux from reaching part or all of the feature bottom. In the unshadowed orientation, an anisotropic etching profile was observed after etching through the polysilicon film to the underlying oxide film. Slight undercutting of the sidewall indicated no sidewall passivation, which is consistent with the good photoresist integrity and lack of by-product deposition in the beam apparatus. In the shadowed orientation, a directional etching was observed at the left side of the trench where sufficient Cl+ and Cl fluxes induced ani- Part B7192 Fig. 26. The orientation of the patterned samples with respect to the beam plane, the SEM images of the etched sample in Fig. 25, and the Monte Carlo simulated profiles of (left) an un-shadowed case, and (right) a shadowed case. Profiles etched by 35 eV Cl+ and Cl at a neutral-to-ion flux ratio of 200. Fig. 27. Simulation of faceting caused by physical sputtering of feature. Fig. 28. Deposition in a trench from an isotropic flux with 0.01 and 1.0 sticking probabilities. Fig. 29. Roughening of surfaces during etch- ing sotropic etching of the polysilicon. The reduced Cl flux on the right side of the trench that was shadowed caused a slower etching rate resulting in unetched polysilicon. Since the etching of the polysilicon on the right side is too high to be just Cl+ sputtering, and too low if all Cl atoms scattered from the photoresist contributed to the etching of the right side of the feature. It is postulated that chlorine atoms recombine each other to form molecular chlorine upon colliding with the photoresist surface. Since the reactivity of molecular chlorine is much lower than that of atomic chlorine on a highly chlorinated surface, we expect reduced contribution of the scattered neutrals to the etching of the polysilicon on the right comer. By carrying out a sensitivity analysis for the recombination probability of Cl on the photoresist, it is found that a probability of 0.5 best represented the measured profile. To test the Monte Carlo simulator, several test cases were performed in which a subset of all possible surface processes were allowed to occur, the faceting of resist caused by physical sputtering is shown in Fig. 27. The photoresist lines were subjected to directional ion bombardment with no redeposition of the sputtered species. The highly directional ion flux produces “faceting” in which the facet angle is equal to the angle corresponding to the maximum sputtering yield. The Monte Carlo simulator captures this peculiar effect with the facet being formed at 63° from the horizontal. Plasma etching processes typically include deposition processes from either the plasma or redeposition of etched products. This deposition passivates the sidewalls preventing undercutting and modifies the etching profile evolution. The Monte Carlo simulator can predict deposition profiles under a variety of conditions. Shown in Fig. 28 are two sample profiles with an isotropic flux of depositing species from the gas phase on a trench with a unity aspect ratio. For low sticking probabilities, the deposition is conformal. However, with unity sticking probability, highly non-conformal deposition is ob- served. Figure 29 also demonstrates that the roughening of surfaces during plasma etching can be simulated; a) shows the profile obtained by sputtering a planar surface in which the sputter products are not allowed to redeposit. The surface roughening is Feature Evolution and Modeling 193 caused by the statistical variation of the ion flux and the variation in sputtering yield with angle (the same effect which causes faceting). Part b) was obtained under the same conditions but allowing the products to redeposit. Though very similar to a), one can see more order in the small pillar-like structures on the surface and a greater roughness. Redeposition lowers the etching rate of the surface slightly. In part c) deposition from the source plane is included and grass-like structures are observed. The tips of the surface features receive a greater deposition flux because of their larger view factor of the plasma while the valleys are shielded and therefore have a lower deposition flux. Another mechanism for grass formation involves micromasking of the surface that this simulator can also model. V. PLASMA DAMAGE The exposure of wafers to a plasma causes a variety of “damage” to the thin films beneath, as summarized in Table 1 and 2 at the end of this section. The nature of these damage mechanisms is varied but are all considered faulty during electrical testing. 1. Contamination First, metals sputtered from the electrodes or walls of the chamber can deposit on the wafer, leading to contamination. This source of damage can be dealt with by reducing the plasma potential with respect to the chamber surface until it is less than the sputtering threshold, typically about 15 eV. In highdensity etchers, the self-bias of the plasma potential is approximately 25 eV, thus the electrode on which the wafer rests must also be regarded as a possible source. One solution is to make all the surfaces that can be sputtered of high purity, non-objectionable materials; typically anodized aluminum. Contaminants from the ashing of photoresist, in particular Na and K can be problematic as they are mobile ions in oxide and can cause the threshold voltage shift of MOSFETs. Wet cleans are usually effective in removing these contaminations. Some studies have indicated that the exposure of the wafer to ion bombardment and/or UV results in the incorporation of these alkali metals into oxide making it difficult to be removed by a short wet clean. 2. Particulates Part B7194 The dominant loss process in all microelectronics processing is point defects that are typically attributable to particulates contamination. The major mechanisms for particulate contamination in plasma processes are mechanical abrasion of moving robotic parts, the flaking of deposited films onto the wafer, degradation of materials, arcing, and the formation of particulates in plasma phase. The formation of particulates by mechanical abrasion is obviously most readily avoided by avoiding abrasion and/or keeping any parts that must come in contact with the backside of the wafer. Limiting the flow rate of venting gas in the robot has also been found to reduce the levitation of particulates from the bottom of the chamber. In certain processes, the rapid pump down of chambers leads to adiabatic cooling of air and can induce water particulates that in turn deposit on the wafers. Materials that slowly react with the plasma products can degrade and produce particulates. In particular, O-rings that are used in seals, if made of an incompatible material, can shed particulates after plasma exposure. In plasma processes, arcs can occur at sharp corners because of the high electric fields caused by the small radius of curvature. The rounding of all edges (sometimes due to welding) reduces arcing and associated evaporation of material near the arc, and subsequent particulate formation. In etching processes, particulates can be formed in the gas phase under extreme conditions and their motions are governed by the sheath dynamics. In PECVD particulate formation in the gas phase is always a concern. The plasma charges particulates negatively, thereby suspending them. They undergo transport by thermal gradients, ion wind, concentration gradient, gas flow, electric field, and many other forces. These particulates can be eliminated from the wafer region by certain gas flow patterns that overcome the dominating electric fields. The formation can be curtailed before the particles grow to a size that is problematic by pulsing the plasma. In the absence of the plasma, the small particles are sweep out of the reactor with the gas flow. 3. Gate oxide damage − photon Transistor gate oxides can also be damaged by photons with energies greater than about 10 eV, Feature Evolution and Modeling 195 Fig. 30. The origin of photon induced damage to ultra-thin dielectrics. Field Oxide Dielectric 1 Gate + PR Metal 1 Ions Electrons + +++++ + + + + ++ + + + + Field Oxide Dielectric 1 Gate ++ PR Metal 1 Ions Electrons ++ ++++++++++ ++ ++ ++ ++ ++++ ++ ++ ++ ++ Fig. 31. Electrical stress induced damage. which can excite electrons into the conduction band of silicon dioxide from the valence band, leaving behind a trapped holes (broken bond) and probably creating damage. If the oxide is a gate dielectric layer for the MOSFET, reliability may be impaired. Lowenergy photons (4-9 eV) excite electrons into the oxide to neutralize holes created by higher-energy ion bombardment and can reduce the damage. Photon energies higher than 16-20 eV can penetrate polysilicon or metal layers, damaging the gate oxides even when they are covered by gate metal. The net result in terms of oxide damage involves a complex interaction between the spectrum of the plasma and the properties of the layers, as depicted schematically in Fig. 30. Normally, once a circuit has received a thick layer of CVD oxide dielectric, the gate oxide is wellprotected from further radiation damage. 4. Gate oxide damage − electrical stress The potential variations on a wafer during processing can connect to individual gates and if the voltage exceeds 10-20 volts, current passes through the gate oxide causing the gate oxide to degrade. Electrical shorting and low gate breakdown can be observed after such process induced electrical stress (Fig. 31). It is known that such damage occurs after a film is cleared. It is not confined to gate etch, but is actually most likely in second metal etch. The metal lines electrically connect to individual gates and thus can induce gate oxide damage without direct plasma exposure. Much work has been done with test structures which have striven to ascribe the damage to one of two mechanisms: 1) an area ratio effect in which the large conductive line serves to collect excess charge and funnel it to a single gate or 2) a perimeter effect in which the charging occurs because of the perimeter of the conductive line collecting charge. The effects appear to be caused by a combination of the isotropic electron and anisotropic ion fluxes that lead to charging within a feature. The perimeter of the lines has a larger view to the electrons than a line surrounded by other lines. The outside line, therefore, charges to a different potential than inside lines. This leads to both different feature profiles as well as potentially electrical damage. 5. Lattice damage The ion bombardment during etching can in- Part B7196 duce lattice damage and the incorporation of hydrogen and fluorine quite deep into the silicon substrate. Ion bombardment also produces a large flux of interstitials that can diffuse into the lattice. It has been observed that pn junction below a depth of about 60 nm are not affected, but shallower junction can exhibit leakage and non-idealities. The incorporation of carbon into the first few monolayers also occurs and can increase the resistance. The reduction of ion bombardment energy in general reduces these effects. 6. Post-etch corrosion Post-etch corrosion is problematic after aluminum metal etches as aluminum undergoes electrochemical attach. Chlorine left on the wafer surface after etching is absorbed in a condensed water layer upon exposure to air forming a thin electrolyte solution on the surface. The corrosion is particular problematic when the aluminum is in contact with a different metals such as TiW which is used as a barrier layer. The presence of a second metal forms a "battery" which discharges by the corrosion of the aluminum. As the technology evolves, since the new interconnect material, Cu, can not be etched, the challenges are on the etching of low-k materials and their integration. Feature Evolution and Modeling 197 Table 1. Potential damage inherent in plasma-based processing (IBM) Damage type Basic cause Present in etching or deposition Materials affected Residue contami- nation Exposure to plasma Usually only in etching due to reaction by-products remaining on surfaces All Plasma-caused species permeation Exposure to plasma Both Dielectrics and semiconductors Bonding disruption Exposure to plasma Particle and/or photon bombardment Both Dielectrics and semiconductors Current flow dam- age Current flow during plasma processing due to charging or induced EMFs Both Dielectrics Table 2 Impact of processing flow and of device and circuit layout (IBM) Impact cate- gory Plasma damage type affected Example Processing flow-- passivation Bonding disruption due to bombardment Wear-out damage Hydrogen released in subsequent processing such as a postmetallization anneal or a hydrogen-laden plasma step can passivate damage Processing flow-- activation Bonding disruption due to bombardment Current flow damage Charging current of a subsequent plasma-based step can activate dielectric damage passivated in an earlier step Processing flow-- cumulative effects Bonding disruption due to bombardment Current flow damage Dielectric current flow damage of a plasma-based step can augment current flow damage of an earlier plasma-based step Layout-- antenna effects Current flow damage Interconnects can collect charge that must pass through a dielectric to dissipate Layout--EMF loop effects Current flow damage Interconnect loops can induce EMFs from timechanging magnetic fields, thereby setting up currents across dielectrics Layout--edge effects Bonding disruption due to bombardment Current flow damage Device edge exposure to plasmas can cause damage due to bombardment and/or increased current flow damage arising from edge conduction Current Problems in Semiconductor Processing 199 S O M Gate DrainSource Dielectric Si conduction channel Fig. 1: A thin layer of SiO2 or other dielectric separates the gate electrode from the conduction layer in a MOS transistor. Fig. 2. The electrostatic potential in an 0.35 µm MOS transistor, mapped by electron holography, showing the actual structure in an 0.7 × 0.7 µm field [Gribelyuk et al., Phys. Rev. Lett. 89, 025502 (2002)]. PRINCIPLES OF PLASMA PROCESSING EPILOGUE CURRENT PROBLEMS IN SEMICONDUCTOR PROCESSING In a rapidly changing technology, problems are encountered and solved continually. Though the general principles covered in the main lectures will not change from year to year, the material in this section is just one snapshot in time and should be updated yearly. Most areas of research fall into the categories of front-end design, interconnects, oxide damage, and species control in plasma sources. Design of smaller transistors has led to the need for high-k dielectrics and ways to etch them. Interconnects are metal conductors, surrounded by insulators, used for electrical connections within the chip. To speed up the signals along these paths, the RC time constant has to be reduced; thus the need for lower-resistance metals and low-k dielectrics. Efficient oxide etchers for the damascene process are used to address this problem. Plasma-induced damage to thin gate insulators is such a severe problem that it can control the type of plasma reactor chosen for etching. Plasma sources for processing the next generation of 300-mm wafers are already in production, and new types are not yet needed. However, measurement and control of the atomic and molecular species impinging on the wafer is still a problem in existing devices. I. FRONT-END CHALLENGES 1. High-k dielectrics The basic building block of a CPU (central processing unit) is the MOSFET transistor, whose simplified structure is shown in Figs. 1 and 2. If we want faster devices while maintaining reasonable drain current, the channel length has to be shorter. However, if the channel length is decreased, the gate area will also decrease, lowering its capacitive coupling to the channel. So the gate dielectric thickness has to be decreased to maintain the same gate capacitance. However, SiO2 layers cannot be made much thinner than about 20Å because of leakage currents and the difficulty of maintaining uniform thickness. With high-k materials, the dielectric constant Epilogue200 10-2 1 102 104 106 108 1010 1012 1014 1016 Interfacial and Space Charge Orientational dipole Ionic dipole Electronic dipole 1 k Frequency 10-2 1 102 104 106 108 1010 1012 1014 1016 Interfacial and Space Charge Orientational dipole Ionic dipole Electronic dipole 1 k 10-2 1 102 104 106 108 1010 1012 1014 1016 Interfacial and Space Charge Orientational dipole Ionic dipole Electronic dipole 1 k Frequency Fig. 3: Dielectric constant k vs. frequency. Table 1. Potential high-k candidates. p-Si SiO2 < 20 ÅZrO2 n+ n+ S ID VD D VG Poly p-Sip-Si SiO2 < 20 Å SiO2SiO2 < 20 ÅZrO2ZrO2ZrO2 n+ n+ S ID VD D VG n+ n+ S ID VD D VG n+ n+ S ID VD D VG n+ n+n+n+ n+n+ S ID VD D VG SS ID VD D ID VD D VGVG PolyPoly Figure 4. Etching ZrO2 has problems with undercutting and incomplete removal of a silicate layer below the source and drain contacts. can be increased to maintain the same capacitance. Power consumption will also be reduced by the lower voltage and current requirements of these down-sized devices. At frequencies of 1−1000 GHz, the dielectric constant of the insulating material will decrease because only the ionic and electronic dipoles respond to the applied field, as shown in Figure 3. Since the ionic component of the polarization arises from the relative motion of the charged atoms and the electronic component stems from distortion of the electron cloud, high-Z dielectrics, such as most of the metal oxides, tend to have a higher k than SiO2 and thus are considered as alternative gate dielectric materials. Moreover, as the physical thickness of the dielectric layer decreases below 10Å, direct quantum-mechanical tunneling causes an unacceptably high leakage current, and breakdown of the dielectric occurs at unacceptably low voltages. A thicker dielectric film with a higher k will also alleviate this problem. For example, ZrO2, HfO2, and their silicates are being widely researched as alternative gate dielectric materials (Table 1). It is essential to develop an anisotropic patterning process for these high-k dielectric materials since: a) these high-k dielectric thin films are thicker and more chemically resistant to HF compared to SiO2, so that isotropic etching in HF would undercut the gate dielectric material more and affect the device reliability; and b) some of the promising high-k materials such as zirconium silicate (ZrSixOy) are quite inert to strong acids, making it difficult to completely remove the high-k materials above the source and drain regions of the transistor. It has been shown that less than a monolayer coverage of ZrSixOy would result in a high contact resistance and significantly reduced current. Figure 4 shows a schematic diagram demonstrating the issues of incomplete removal of interfacial layer and undercutting. 2. Metal gates Highly doped polysilicon is used for the gate metal with SiO2, but migration of the dopant causes a depleted layer which decreases the gate capacitance, requiring the oxide layer to be even thinner. High-k insulators alleviate this problem because they can be more compatible with elemental and compound gate metals. Metal gates would also help eliminate possible reactions between poly-Si and the high-k materiMaterial κ EBD (MV/cm) EG (eV) SiO2 4 15 8 SiOxNy 4 15 6 Si3N4 5-7 10-11 5 TiO2 100 0.5 4 Ta2O5 25 4 4 Al2O3 11 10 8 ZrO2 22 15 7 HfO2 22 15 7 Y2O3 15 5 6 Current Problems in Semiconductor Processing 201 Fig. 5. Single level metallization: the top layer is the metal. Gate Gate STI Metal 1 Contact STISTI Contact Metal 5 Metal 4 Metal 3 Metal 2 Dielectric 1 Dielectric 4 Dielectric 3 Dielectric 2 Dielectric 5 Silicon Substrate Gate Gate STI Metal 1 Contact STISTI Contact Metal 5 Metal 4 Metal 3 Metal 2 Dielectric 1 Dielectric 4 Dielectric 3 Dielectric 2 Dielectric 5 Silicon Substrate Fig. 6: Multiple level metallization. Connections to seemingly isolated blocks are made in planes in front of or behind this plane. 0 10 20 30 40 Gate with Cu and low-κ Gate with Al and SiO2 Al and SiO2 Cu and low-κ Gate 650 500 350 250 180 130 100 Delay(psec) Generations (nm) 0 10 20 30 40 Gate with Cu and low-κ Gate with Al and SiO2 Al and SiO2 Cu and low-κ Gate 650 500 350 250 180 130 100 Delay(psec) Generations (nm) Fig. 7. Gate delay and RC time constant, and their sum, for new and old gate materials. als. The search for an alternative gate electrode relies largely on the work function analysis of the potential gate material, process compatibility with dielectric deposition and annealing, and thermal and chemical interface stability with dielectrics. To replace n+ and p+ polysilicon and maintain the scaled performance for 50 nm CMOS and beyond, metals and their nitrides, silicides, and oxides, such as Mo, Hf, MoN, HfN, Pt, Ni, and RuO2, are all being researched as potential gate materials. Of course, proper etching chemistries have to be identified and studied to allow the patterning of these electrodes. II. BACK-END CHALLENGES To complete the formation of an integrated circuit, the solid-state devices need to be interconnected and finally get connections to the world outside the silicon chip. In this section, we will discuss metallization and interconnection isolation using dielectric materials, and the challenges for future interconnects. Metals or heavily doped polysilicon have been used to wire the devices, and it is important that these “wires” have low resistance, make good ohmic contacts, and be properly insulated with dielectric materials. The product of the resistance R of the metal lines and the capacitance C of the dielectric materials gives rise to the RC delay in the integrated circuit. Depending upon the materials used, barrier layers are sometimes needed between the metal and the dielectric materials. The single level interconnection in a CMOS structure is shown in Fig. 5. Typically, fiveto nine-level interconnection schemes are needed for a high density of devices (Fig. 6). As shown in Fig. 7, at device dimensions below 250 nm, the interconnection RC delay exceeds the gate delay (needed to establish the channel and the current in it) and dominates the speed of the circuit. This crossover prompts the search for lower resistance metal (Cu) and lower capacitance interlayer dielectric (ILD) materials. Therefore, it is critically important to address the challenging issues in metallization and interconnect isolation. 1. Copper metallization To reduce the RC delay, copper is chosen for its lower resistivity compared to aluminum. Copper is deposited by electroplating because the process is cheap and robust. However, copper halides are not Epilogue202 Copper Photoresist Aluminum Photoresist Copper Photoresist Copper Photoresist Aluminum Photoresist Aluminum Photoresist Fig. 8. Left: Conventional subtractive interconnection scheme. Right: Damascene process. Fig. 9. (Left) via-first and (right) trench-first dual damascene processes. very volatile, so no low temperature dry etching process is available to pattern copper. Therefore, electroplating of copper into preformed dielectrics (the damascene process) is emerging as the new deposition method for interconnects in advanced integrated circuits. A conventional Al interconnect etching scheme is depicted in Fig.8 (left). First, photoresist is used to etch the metal to the desired pattern and then subsequently removed. Dielectric material is then deposited on the patterned metal, followed by chemical mechanical polishing (CMP) of the dielectric to yield a planar surface. The word damascene comes from the city of Damascus, where the process of metal inlaid decoration was invented. In Fig. 8 (right), photoresist is used to etch a dielectric material to the reverse of the metal pattern desired, up to a stop layer. After ashing of the resist, a barrier layer and a Cu seed layer are deposited, and then copper is electroplated into the patterned dielectric. Chemical mechanical polishing of the metal and subsequent deposition of more dielectric produces the same structure as on the left. From a processing perspective, electroplating is capable of void-free filling of sub-micron trenches and vias. From an interconnect reliability perspective, electroplating provides a surprising route to achieving large-grained bamboo-type interconnect structures that improve electromigration resistance. The advantages of copper metallization are: • Lower resistivity (1.7µΩ−cm, vs. 2.7 for Al) • High melting temperature (1083o C) • Better electromigration resistance • Inexpensive with electroplating The disadvantages of copper metallization are: • Barrier material needed • No dry etching process available • Corrosion The challenges in patterning interlayer dielectrics for copper interconnects can be demonstrated by the two commonly used dual damascene processes shown in Figure 9. Metal in small vias is used at the bottom, where space is at a premium due to the high packing density of devices. The dielectric material there is low-k, because R is large. Above that layer, larger trenches can be used, possibly with SiO2 (in Current Problems in Semiconductor Processing 203 Fig. 10. Multilayer Cu interconnections, seen with the interlayer SiO2 etched away. Fluorinated polyimide Parylene Fluorinated arylene ether PTFE CF3CF3 C F F F F F F F F F F ORO OO N CC )( F Fluorinated polyimide Parylene Fluorinated arylene ether PTFE Fluorinated polyimide Parylene Fluorinated arylene ether PTFE CF3CF3 C F F F F F F F F F F ORO OO N CC )( F Fig. 11. Structure of organic low-k dielectric materals red). The via-first damascene process begins with the deposition of a thick dielectric on top of a thin etch-stop layer. A deep via pattern is etched through the entire dielectric stack. Photoresist processing fills the via and generates the trench pattern. The buried etch stop is used to terminate the trench etch, and the photoresist is removed to yield the final pattern. The advantages of the via-first process include the single step dielectric etch (giving highest throughput), an easier lithography process, and the flexibility to include multi-layer dielectrics. The disadvantages are possible misalignment leading to reduced via size, selectivity variations with different dielectrics, need for high selectivity to SiN, and difficulty in removing the resist at the via bottom. The trench-first damascene process starts the same way, but the wider trench pattern is etched in the first dielectric up to the stop layer. Another photolithography step is used to pattern and etch the via. Removal of the photoresist yields the same structure as on the left. The advantage of this process is that the two etch processes can be optimized for each dielectric material or a single thick layer of dielectric materials can be used. The disadvantages include the required timed-etch for the line and the difficulty in patterning through a thick layer of photoresist. Fig. 10 shows the complexity of the final product of multilayer copper metallization. The buried-via (a.k.a. self-aligned dual damascene) process involves the patterning of the nitride stop layer to define the via, followed by another interlayer dielectric deposition. Thus, only one etching step is required to form the same structure shown in Figure 10 (not illustrated). 2. Interlayer dielectrics (ILDs) Silicon dioxide (k ≥ 3.9) has traditionally been used for interconnect isolation. However, lower dielectric constant materials are needed to further reduce the RC delay. These low-k materials not only reduce the line-to-line capacitance, but also minimize cross-talk noise and reduce power consumption. A broad spectrum of low-k materials including fluorinated SiO2, organic polymers, nanoporous silica, amorphous fluorocarbon, and hybrid inorganic and organic materials have been investigated for replacing silicon dioxide as ILD (Fig. 11). The effectiveness of new dielectric materials depend on the dielectric constant, thermal stability, water resistance, Epilogue204 20 30 40 50 60 0 1 2 3 4 5 6 7 8 9 (Weightloss)%[450oC/15min] Dielectricconstant(@1MHz) Fluorine Content (at.%) 100 80 60 40 20 0 20 30 40 50 60 0 1 2 3 4 5 6 7 8 9 (Weightloss)%[450oC/15min] Dielectricconstant(@1MHz) Fluorine Content (at.%) 100 80 60 40 20 0 Fig. 12. Dielectic constant (left) and thermal instability (right) of a-C:F films as a function of F content. As k drops, weight loss increases. -2 -1 0 1 2 -14 -13 -12 -11 -10 -9 -8 -2 -1 0 1 2 -8 -10 -9 -11 -12 -13 -14 Log(I)(A/mm2) a-C:F (36%), κ=2.88 SiO2 κ=4.58 a-C:F (59%) κ=2.18 -2 -1 0 1 2 -14 -13 -12 -11 -10 -9 -8 -2 -1 0 1 2 -8 -10 -9 -11 -12 -13 -14 Log(I)(A/mm2) a-C:F (36%), κ=2.88 SiO2 κ=4.58 a-C:F (59%) κ=2.18 Fig. 13. Leakage current of a-C:F films vs. voltage. As k drops, the leakage current increases. Si3N4 Si3N4 SiO2 SiO2 SiO2 Adhesion Layer Low-K Metal (Cu) Barrier (Ti/TiN) Si3N4 Si3N4 SiO2 SiO2 SiO2 Adhesion Layer Low-K Metal (Cu) Barrier (Ti/TiN) Fig. 14. The Ti/TiN barrier layer is itself protected by an SiO2 layer. The Si3N4 layers serve as the etch stop. The need for an adhesion layer between SiO2 and low-k dielectric depends on which is deposited first. chemical stability, adhesion, and gap fill capabilities. Low Dielectric Constant Materials • SiOF: fluorinated silica • SiOH: hydrogenated silica • Porous SiO2 • Organic polymers • Fluorinated polyimide • Fluorinated arylene ether • Parylene • PTFE (Teflon) • Amorphous fluorinated carbon (Figs. 12 and 13) • Air gap • Hybrid inorganic-organic material: F-polyimide + SiO2 Desirable characteristics • Dielectric constant: k ~ 1 – 3 • Good thermal stability, low expansion • Minimal moisture uptake • Good mechanical strength • Electrical leakage/breakdown similar to SiO2 • Less film stress • Good adhesion • Less capping material • CMP compatible • Etching selectivity to nitrides/oxides/oxynitrides • O2 ashing compatible 3. Barrier materials Titanium, tantalum, and tungsten and/or their nitrides with low resistance are used as diffusion barriers and adhesion promoters between copper and dielectric. The integration of barrier materials with metal and ILD is critically important in microelectronics interconnection, especially the chemical and thermal stabilities at the metal/barrier and barrier/ILD interfaces (Fig. 14). Atomic layer deposition has been widely studied recently to allow the deposition of these materials over high aspect ratio features with greater conformality. III. PATTERNING NANOMETER FEATURES 1. E-beam To generate finer features than uv light can define, electrons generated from a thermionic or fieldemission source can be used to “write” photoresist Current Problems in Semiconductor Processing 205 Fig. 15. The SCALPEL ® e-beam lithography system. Mask (photoresist) SiO2 E ee- e- e- e- } + + + + + −− +→+ eOeO 22 SiO2 ee- e- e- e- + + + + + −− +→+ eCleCl 22 Si SiO2 ee- e- e- e- + + + + + −− +→+ eOeO 22 OHCOOsist 22 +→+Re Si 4 4 SiCl ClSi → + Mask (photoresist) SiO2SiO2SiO2 E ee- e- e- e- } ++ ++ ++ ++ ++ −− +→+ eOeO 22 SiO2 ee- e- e- e- + + + + + −− +→+ eCleCl 22 SiO2SiO2SiO2 ee- e- e- e- ++ ++ ++ ++ ++ −− +→+ eCleCl 22 Si SiO2 ee- e- e- e- + + + + + −− +→+ eOeO 22 OHCOOsist 22 +→+Re Si SiO2SiO2SiO2 ee- e- e- e- ++ ++ ++ ++ ++ −− +→+ eOeO 22 OHCOOsist 22 +→+Re Si 4 4 SiCl ClSi → + Fig. 16. By etching the photoresist, it can be made narrower to generate finer features. Resist BARC SiO2 Gate High-k Si Resist BARC SiO2 Gate High-k Si Fig. 17. State-of-the-art patterning processes. directly. Electron scattering and the resultant “proximity” effect are the major challenges in ebeam lithography. The SCALPEL® (Scattering with Angular Limitation in Projection Electron-beam Lithography) system developed by Bell Labs, Lucent Technologies, uses high-energy electrons, projected through a photomask, to create integrated circuit features just 30-80 nm wide, overcoming many of the limitations faced in the current optical lithography systems due to the available wavelengths of light. In Fig. 15, an electron beam enters from the left and impinges on a mask thick enough to scatter them but not to stop them. Electrons passing through the open areas suffer minimal scattering and diffraction. An electromagnetic lens refocuses the electrons onto the photoresist through a back-plane aperture, placed so that most of the unscattered electrons but few of the scattered ones will be transmitted. A group of semiconductor device and equipment manufacturers has recently announced a joint agreement aimed at accelerating the development of SCALPEL® technology into a production lithography solution for building future generations of integrated circuits. 2. Resist trimming Another alternative to generate finer features is to “trim” the photolithographically patterned features by an oxygen plasma etching process, as shown in Fig. 16. In a real process concerning patterning the gate stack, several etching processes have to be used because the photoresist is deposited on a layer of bottom anti-reflective coating (BARC) on a layer of SiO2, which is used as the hard mask in patterning the gate polysilicon, as shown in Figure 17. It is then necessary to use a series of plasma etching processes to (1) trim the photoresist, (2) etch the BARC, (3) pattern the hard mask, (4) ash the photoresist and BARC, (5) etch the gate, (6) remove the hard mask, and (7) etch the gate dielectric. Each process requires a different etch chemistry and an in-depth understanding of the surface chemistry to achieve high etch rate, greater anisotropy, high selectivity, and less damage. This demonstrates the complexity of the process of fabricating nanometer-scale transistors, and the challenge is to simplify and automate the process for speed, reliability, and reproducibility. IV. DEEP REACTIVE ETCH FOR MEMS Epilogue206 C4F8SF6 SF6 …. C4F8C4F8SF6 SF6 …. C4F8 Fig. 18. Schematic of the BOSCH process. Fig. 19. High aspect ratio features patterned with the BOSCH process. Fig. 20. MEMS devices (a micro-GC) made by the BOSCH process (Sandia National Lab). Plasma etching is also needed in generating novel MEMS (MicroElectroMechanical Systems) structures, especially for high aspect ratio features. Because the dimensions of MEMS devices are much larger than in microelectronics, much faster etch rates (100 µm/h) is needed, but higher tolerance and some isotropy are allowed. To meet these requirements, the BOSCH process is typically used (Figs. 18 and 19). The key element in the BOSCH process is to alternate etching and passivation processes to allow the generation of high aspect ratio features with high throughput. SF6 is typically used to etch silicon to obtain high etch rate, and C4F8 is used to coat the undercut area with a passivation layer. By iterating these steps, high aspect ratio features can be generated, typically with scalloped ridges inside the feature. Figure 20 shows a spiraled gas chromatography column (GC) that is etched through a silicon wafer using the BOSCH process. Once this spiraled column is coated with the appropriate stationary phase material and encapsulated, a GC is made and can be used for chemical separation prior to detec- tion. V. PLASMA-INDUCED DAMAGE The delicate circuits on a chip are easily damaged during plasma processing by bombardment by energetic ions or electrons, or even by ultraviolet radiation. Most problems are caused by the thin gate insulator, usually SiO2. Suppose the gate is charged to 0.4V by the plasma while the other side of the oxide is at ground potential. If the oxide is 40Å thick, the E-field across it is 1 MV/cm! High E-fields cause a quantum-mechanical current, called the Fowler-Nordheim current, to tunnel through the dielectric. If the oxide layer is much thinner than this, not much damage is incurred, but the layer is no longer a good insulator. If it is thicker than this, electrons driven through the layer will damage the dielectric by creating defects in the lattice structure, changing the oxide’s characteristics, such as its capacitance or breakdown voltage. Initially, plasma potential uniformities or E × B drifts due to imposed B-fields were blamed for charging damage, but recently attention has been focused on the electron shading mechanism proposed by Hashimoto [Jpn. J. Appl. Phys. 33, 6013 (1994)]. Current Problems in Semiconductor Processing 207 Fig. 21. Hashimoto’s diagram of the electron shading mechanism. Fig. 22. Monte-Carlo simulation of ion orbits affected by photoresist charging. In this case, curved orbits can lead to notching of the trench bottom [Hwang and Giapis, JVSTB 15, 70 (1997)]. In electron shading (Fig. 21), electrons impinge on the insulating photoresist and charge it negatively. The resultant negative space potential prevents further electrons from entering the trench. Only ions reach the trench bottom, causing it to charge up positively. If this potential is connected to a gate electrode, current will be driven through the oxide. The current flows back to ground elsewhere on the chip to complete the circuit. Note that if the gate is connected to ground during the etch, no charge buildup can occur. However, when the etching is complete and the gate is isolated, then it can become charged. Thus, most of the damage occurs just before or during the overetch period. The amount of charge available to damage an oxide depends on other charge-collecting areas that are connected to the gate. The antenna ratio is ratio of this total area to the oxide area and can be of the order of 104 . The increase in damage with antenna ratio is well documented. Special diagnostic wafer have been developed to measure the probability of damage in various parts of the wafer. There has been evidence that low Te can reduce damage, presumably because all plasma voltages decrease with Te. Pulsed discharges have been studied for this purpose. In the afterglow plasma after RF turnoff, Te decays faster than does n, so that there is a period when etching can be done with a low-Te plasma. Mechanical filters for fast electrons have been proposed, as well as the introduction of gases that absorb them. On the other hand, RIE discharges, which normally have higher Te than ICPs, have been found to cause less damage. Though there have been computer simulations (Fig. 22), Hashimoto’s scenario has not been verified directly, and the primary mechanism for oxide damage is not well enough understood that curative measures can be taken in a predictive fashion. VI. SPECIES CONTROL IN PLASMA REACTORS Though plasma reactors are available which can produce uniformity in density and temperature over a 300-mm substrate, it is more difficult to control the uniformity of various chemical species impinging on the wafer. There are two problems: uniformity of the neutral gas, and the distribution of molecular species. In processes that consume large quantities of Epilogue208 Fig. 23. Neutral depletion of an initially uniform filling of 10-mTorr argon gas by the plasma in a helicon source. The data are at Prf = 1, 2, and 3 kW (top to bottom), and the lines are theoretical [G.R. Tynan, J. Appl. Phys. 86, 5356 (1999)]. the injected gas, such as deposition of amorphous silicon onto glass substrates for flat-panel displays, large-area, small-gap RIE discharges can be used. The gas is injected uniformly through hundreds of small holes in one of the capacitor plates. In lowpressure etching with ICPs, however, neutrals cannot easily be injected into the interior of the plasma. A dense plasma will ionize neutrals injected from a showerhead ring around the periphery, leaving the center depleted of neutrals. This has been observed by Tynan (Fig. 23). A more difficult problem is to control, for instance in an argon−fluorocarbon discharge, the relative concentrations of CF4, CF3, CF2, CF, F, etc. at the wafer level. Some degree of control can be obtained by creating an argon plasma in the upper part of the chamber and then injecting the reactant gas from a showerhead lower down, where Te has cooled to a suitable level. In traversing the plasma toward the center, however, neutrals will be dissociated and ionized progressively, and the relative concentrations will vary with radius. Plasmas with electronegative gases pose further problems. Control of the physical properties of RF plasma may be at hand, but control of the chemical properties, perhaps by controlling the EEDF, is a subject for further development. Sample homework and exam problems Part A: Plasma physics Disclaimer: These homework assignments and exams are taken directly from our files without editing or corrections. We take no responsibility for their suitability for use by others. Professors teaching a course using these notes as a textbook may obtain answers to the problems from the authors if they agree not to allow circulation of the answer sheets among the students. A request should also give the title, date, and frequency of the course offering and the expected enrollment. CHEMICAL ENGINEERING DEPARTMENT ChE 234, Spring, 2002 Homework Assignment No. 1 PROF. F.F. CHEN Assigned April 4, 2002 Due April 11, 2002 1. Verify the conversion factor from KT to eV, as well as the numerical coefficients in the shortcut formulas for λD, fp, and fc. Compute these to three significant figures ( and no more). [It is important to recognize that all those digits in your computer output are not real data.] 2. Electron Cyclotron Resonance (ECR) plasma sources usually operate at f = 2.45 GHz, because the technology of magnetrons to produce this frequency has been well developed for microwave ovens. These sources operate with ω = ωc. What magnetic field does this correspond to? 3. Calculate the Larmor radius of a singly charged argon ion (A = 40) with 15 eV of perpendicular energy (½Mv⊥ 2 ) in the magnetic field you calculated in (2). 4. What is the free-space wavelength of 13.56 MHz radiation? [This is the wavelength in vacuum, where the wave velocity is c.} 5. A plasma resonance probe measures plasma density by finding a peak in its frequency response at ω = ωp. If the peak is found at 280 MHz, what is the plasma density? [Please give the right number of significant figures.] 6. What is the ion acoustic speed in a 5-eV helium plasma? [This means that KTe = 5 eV.] CHEMICAL ENGINEERING DEPARTMENT ChE 234, Spring, 2002 Homework Assignment No. 2 PROF. F.F. CHEN Assigned April 11, 2002 Due April 18, 2002 Some of these exercises ask you to derive known results for yourself so that you do not blindly accept what is given to you by someone else. Please attempt to do this before looking at known solutions. You will be graded on effort. A copied answer will be quite obvious. Other problems require you to learn how to use a computer to make graphs. 1. Prove that the neutral density at 20°C and 1 mTorr pressure is 3.3 × 1013 cm-3 for a monatomic gas. 2. The density at which electron-ion collisions are as frequent as electron-neutral collisions was given in class as 6.9 × 109 pmTorrTeV 2 cm-3 . Plot the fractional ionization F at this crossover point as a function of Te, where F = n /(n + nn). 3. Plot the crossover density above vs. Te for various pressures p. Choose your scales so that these plots are as useful as possible for your own future use. 4. Using the collision probabilities given in the course notes, calculate the current density in an ICP if KTe = 3 eV, p = 10 mTorr of Ar, n = 2 × 1011 cm-3, and E = 0.5 V/cm. Give units. 5. Calculate the cross-field diffusion coefficient D⊥ for electrons in a weakly ionized, 4-eV plasma in 20 mTorr of Ar, with a dc magnetic field of 500G. Give units. 6. (a) Estimate the mean free path of 60-eV “primary” electrons in a 3-mTorr argon discharge of density 1012 cm-3 , including collisions with both neutrals and ions. (b) About how far does such an electron go before it creates an ion? CHEMICAL ENGINEERING DEPARTMENT ChE 234, Spring, 2002 Homework Assignment No. 5 PROF. F.F. CHEN Assigned May 21, 2002 Due May 28, 2002 1. Using collision data given earlier, calculate the skin depth in an unmagnetized ICP reactor running at 13.56 MHz in 10 mTorr of argon with Te = 4 eV and n = 2 × 1011 cm-3 . 2. An RIE reactor has two identical circular electrodes of radius a, separated by a height h. The space between them is bounded by a grounded, metallic cylinder of radius a and length h. Different RF voltages , , , ˆ sin( )A B A B A BV V tω= are applied to the two electrodes. Assuming that the plasma is a perfect conductor, derive an expression for the space potential Vs as a function of time. [Hint: Write the electron and ion fluxes to each of the three surfaces as a function of time, and set the total electron flux equal to the total ion flux. The diagram is a cylinder of revolution around a vertical axis.] VA VB Vs h a 3. A 65-GHz microwave interferometer is used to measure the density in a plasma column 10 cm in diameter. A phase shift of 15 degrees is measured after a double-pass through the plasma. Assuming that the plasma is uniform, calculate its density. 4. The ion saturation current to a Langmuir probe 0.3 mm in diameter and 2 mm long is measured across a 50 Ω resistor, giving a reading of 25 mV. Using the Bohm formula for a thin sheath, estimate the density of the 4−eV argon plasma. 5. On the back are some data taken for a Langmuir probe I – V curve. Estimate the electron temperature. Vp Ie Vp Ie (V) (mA) (V) (mA) -58.0 -0.68 -3.0 0.14 -56.0 -0.66 -2.0 0.29 -54.0 -0.64 -1.0 0.48 -52.0 -0.62 0.0 0.75 -50.0 -0.60 1.0 1.12 -48.0 -0.58 2.0 1.62 -46.0 -0.56 3.0 2.30 -44.0 -0.54 4.0 3.24 -42.0 -0.52 5.0 4.52 -40.0 -0.50 6.0 6.27 -38.0 -0.48 7.0 8.66 -36.0 -0.46 8.0 11.93 -34.0 -0.44 9.0 16.40 -32.0 -0.42 10.0 22.51 -30.0 -0.40 10.5 26.36 -28.0 -0.38 10.8 28.11 -26.0 -0.36 11.0 28.29 -24.0 -0.34 12.0 29.08 -22.0 -0.32 14.0 30.24 -20.0 -0.30 16.0 31.02 -18.0 -0.28 18.0 31.56 -16.0 -0.26 20.0 31.97 -14.0 -0.24 22.0 32.34 -12.0 -0.23 24.0 32.70 -10.0 -0.21 26.0 33.05 -8.0 -0.17 28.0 33.36 -6.0 -0.10 30.0 33.54 -4.0 0.04 CHEMICAL ENGINEERING DEPARTMENT ChE 234, Spring, 2002 Homework No. 1 Answers PROF. F.F. CHEN Assigned April 4, 2002 Due April 11, 2002 In doing the homework, there are some good habits which I hope you will learn: 1. Express multiplication with parentheses like (3)(4) instead of 3⋅4 or 3×4, since these can be misread. Cross your z’s so they don’t look like 2’s. 2. Do not give more significant figures in the answer than are justified by the data. You can use one more digit in the data than you need in the answer and then round off at the end. But the answer cannot be more accurate than the most inaccurate piece of data. 3. Carry out calculations algebraically as far as you can; then put in the numbers at the end. This saves entering numbers over and over again and prevents round-off errors. For instance, in the examples that follow, you will notice that some factors cancel in the intermediate steps, so that in the answer, very few numbers have to be entered. _____________ 1. Verify the conversion factor from KT to eV, as well as the numerical coefficients in the shortcut formulas for λD, fp, and fc. a) 23 19 1.381 / 1.602 BoltzmannK e J K e e C − − = = 19 23 1.602 11600 / 1.381 / e C T K V e J K − − = = Note that (K)(T) and (e)(V) are in Joules. b) 1/ 2 1/ 2 1/ 2 1/ 2 12 0 2 19 1/ 21/ 2 1/ 2 3 9 18 8.854 10 1.602 10 7.43 10 m = 7.43 10 m = 7.43 m e eV o eV D eV eV eV KT T T n e nne T T T n n n ε ε λ µ µ − −  ×        = = =                ×         = × ×            Here n18 is the density in units of 1018 m-3 . c) 1/ 2 1/ 22 19 1/ 2 1/ 2 12 30 0 ½ 18 1 1.60 10 1 2 2 (8.85 10 )(0.91 10 ) 8.98 8.98 GHz p e f n n m n n π ε π − − −    × = =      × ×   = = Note that 1.6 is not the same as 1.60, which has 3 significant digits. Also, 0.91 has 3 significant figures because the next digit is only one part in 1000. d) f e m B B B Bc G G G= = × × = × − − 1 2 1 2 1602 10 0 911 10 10 2 80 10 19 30 4 6 π π . . . Hz = 2.80 MHz 2. Electron Cyclotron Resonance (ECR) plasma sources usually operate at f = 2.45 GHz, because the technology of magnetrons to produce this frequency has been well developed for microwave ovens. These sources operate with ω = ωc. What magnetic field does this correspond to? f B Bc G G= × = × = × × =2 8 10 2 45 10 2 45 10 2 8 10 8756 9 9 6 . . , . . G 3. Calculate the Larmor radius of a singly charged argon ion (A = 40) with 15 eV of perpendicular energy (½Mv⊥ 2 ) in the magnetic field you calculated in (2). 2 1/ 2 2 3 1/ 2 1/ 2 1/ 2-27 4 19 ½ 15eV=(15)( ) joules 30 30 , ( 8.48 10 m/sec) 30 30 1 (30)(40)(1.67 10 ) 10 0.040 m = 4.0 cm 8751.60 10 H L c Mv e e e v v M M v e M AM r M eB e Bω ⊥ ⊥ ⊥ ⊥ − =   = = = ×          = = =             × = =   ×  4. What is the free-space wavelength of 13.56 MHz radiation? 8 6 3 10 22.1m 13.56 10 c f λ × = = = × 5. A plasma resonance probe measures plasma density by finding a peak in its frequency response at ω = ωp. If the peak is found at 280 MHz, what is the plasma density? 2 4 9 12 12 0.28 9 GHz, n = 9.68 10 , 0.97 10 9 pf n n−  = = × = ×    6. What is the ion acoustic speed in a 5-eV helium plasma? ( ) 1/ 219 ½ 4 6 27 (5)(1.6 10 ) / 1.09 10 m/sec 1.09 10 cm/sec (4)(1.67 10 ) s eVc T M − −  × = = = × = ×   ×  CHEMICAL ENGINEERING DEPARTMENT ChE 234, Winter, 2000 Principles of Plasma Processing Exam 2 (open book) Prof. F.F. Chen 4-6 pm, Thur., May 30, 2002 1. The following Langmuir probe curve, with the ion current already subtracted, shows a biMaxwellian electron distribution. 0.01 0.1 1 10 100 -30 -20 -10 0 10 20 Probe voltage (V) Electroncurrent(mA) a) Estimate the space potential Vs. b) Find the temperatures T1 and T2 of the two Maxwellians. c) Using the lower Te, estimate the density from Ie,sat if the probe is 1 mm in diam and 1 cm long. 2. One plate of a 4-eV argon parallel plate discharge is grounded, and the other plate of equal area is rf-driven at 200-V peak-to-peak. You are to calculate the time-averaged dc sheath drop Vdc on the driven plate. Let sin , , /b eh t eV KTη θ θ ω η= ≡ ≡ . To find the average ηs, you would normally have to evaluate an awful integral of the form ( )sin ln 1 h e dθ θ+ ∫ , but there is an approximation you can use when h is large. When sinθ is >0, the “1” can be neglected, and when sinθ is <0, the exponential term can be neglected. a) Find a numerical value for the normal Vdc in the limit Vb → 0. b). Derive an expression for the sheath drop ηdc without inserting numbers. c) Do the integration to get Vdc (in volts) when Vb is on. 3. A helicon discharge with radius a = 5 cm is operated at n = 5 × 1012 cm-3 and B0 = 1000 G. The waves follow the dispersion relation 0 0 ne k B µω β = , where β ≈ 3.83 / a. What is the energy (in eV) of an electron traveling at the phase velocity of the wave? [Hint: µ0 = 4π × 10-7 .] 4. A cylindrical argon discharge 30 cm in diameter and 30 cm long is maintained in steady state at KTe = 2 eV with 1 kW of absorbed RF power. No bias power is applied, so there is a normal sheath drop on all surfaces. What is the density? Hint: the Ec curve is reproduced below. 10 100 1000 1 10KTe (eV) Ec(eV) 5. Multiple choice questions. Use intuition; calculation not required (nor desired). 1. The plasma frequency fp in a plasma reactor is most likely to be in the range ! 100−1000 kHz ! 1−100 MHz ! 100−1000 MHz ! 1−100 GHz ! 0.1−1 THz 2. The electron gyration radius at 4 eV in a 100-G field is closest to ! 1 µm ! 1 mm ! 1 cm ! 1 m ! 10 m 3. The Debye length at n = 4 × 1010 cm-3 in a plasma reactor with KTe = 4 eV is closest to ! 10 m ! 1 m ! 1 cm ! 1 mm ! 0.1 mm 4. The cyclotron frequency at 1 kG is closest to ! 3 kHz ! 3 MHz ! 3 GHz ! 3 THz ! 875 Hz 5. The collisionless skin depth at n = 3 × 1011 cm-3 is approximately ! 1 µm ! 1 mm ! 1 cm ! 1 m ! 1 km 6. All walls of an ICP are grounded, and a dc bias of −100 V is put on a large conducting substrate. The gas is argon, and KTe = 3 eV. The plasma potential Vs is closest to ! −105 V ! −99V ! −5 V ! 0 V ! +15 V 7. The ion temperature can be measured by the following ways (check all that apply): ! Gridded energy analyzer ! Single Langmuir probe ! Double Langmuir probe ! Microwave interferometry ! Laser induced fluorescence 8. The plasma density is most accurately measured with ! Microwaves ! OES ! Electron saturation current ! Ion saturation current ! Rogowski coils 9. The Bernstein-Rabinowitz-Laframboise theory of Langmuir probes includes the following effects (check all that apply): ! Sheath formation ! Ion orbital motion ! Electron orbital motion ! Charge exchange collisions ! Conservation of angular momentum 10. In RF HDPs, special techniques are needed to minimize RF interference with the following diagnostics (check all that apply): ! Gridded energy analyzer ! Magnetic probe ! Langmuir probe ! Microwave interferometry ! Actinometry CHEMICAL ENGINEERING DEPARTMENT ChE 234, Spring, 1998 Homework Assignment No. 1a PROF. F.F. CHEN Assigned January 11, 2000 Due January 20, 2000 1. Compute to three significant figures the numerical coefficients in the shortcut formulas for λD, fp, and fc. 2. Calculate the Larmor radius of an argon ion (A = 40) with 2 eV of perpendicular energy (½Mv⊥ 2 ) in a 100G magnetic field. 3. Electron Cyclotron Resonance (ECR) plasma sources usually operate at f = 2.45 Ghz, because the technology of magnetrons to produce this frequency has been well developed for microwave ovens. These sources operate with ω = ωc. What magnetic field does this correspond to? 4. What is the free-space wavelength of 2.45 GHz radiation? [This is the wavelength in vacuum, where the wave velocity is c.} 5. If one were to make an ECR source at 13.56 MHz, what magnetic field would be required? How does this compare with the earth’s magnetic field? CHEMICAL ENGINEERING DEPARTMENT ChE 234, Spring, 2000 Homework Assignment No. 2a PROF. F.F. CHEN Assigned January 20, 2000 Due January 27, 2000 1. Prove that the neutral density at 20°C and 1 mTorr pressure is 3.3 × 1013 cm-3 for a monatomic gas. 2. The density at which electron-ion collisions are as frequent as electron-neutral collisions was given in class as 6.9 × 109 pmTorrTeV 2 cm-3 . Plot the fractional ionization F at this crossover point as a function of Te, where F = n /(n + nn). 3. Plot the crossover density above vs. Te for various pressures p. Choose your scales so that these plots are as useful as possible for your own future use. 4. Using the collision probabilities given in the course notes, calculate the parallel diffusion coefficient for a Maxwellian electron distribution at 4eV in 25 mTorr of A. 5. Add a magnetic field of 250 G to the previous problem and calculate the cross-field diffusion coefficient D⊥. 6. (a) Estimate the mean free path of 50-eV “primary” electrons in a 3-mTorr argon discharge of density 1012 cm-3 , including collisions with both neutrals and ions. (b) Also estimate the ionization mean free path. CHEMICAL ENGINEERING DEPARTMENT ChE 234, Winter, 2000 Homework Assignment No. 3a PROF. F.F. CHEN Assigned Jan. 27, 2000 Due Feb. 3, 2000 1. An atomic chlorine plasma in a 100 G magnetic field has KTe = 2.5 eV and KTi = 0.1 eV. Would you say this was a weakly magnetized or strongly magnetized plasma, and why? 2. The mobility of argon ions in argon gas is 1.17 × 106 cm/sec per V/cm, and the electronneutral collision probability was given in the lecture notes. Estimate the ambipolar crossfield diffusion coefficient in a weakly ionized discharge in 50 mTorr of argon and a 600-G magnetic field with KTi = 0.05 eV and KTe = 2 eV. Hint: write down the units used in each step, and neglect electron-ion collisions. 3. The density profile in an unmagnetized argon discharge in a cylindrical tube of radius a = 2.5 cm has the form 0 0( )n n J Tr= , where J0 is a Bessel function of order 0, T is such that J0(Ta) = 0, and n0 = 1012 cm-3 . Supposing that the diffusion coefficient Da is given to you in cm2 /sec, calculate the flux Γ of plasma reaching the walls (in particles/cm2 /sec), expressing the result in terms of Da. CHEMICAL ENGINEERING DEPARTMENT ChE 234, Winter, 2000 Homework Assignment No. 4a PROF. F.F. CHEN Assigned Feb. 3, 2000 Due Feb. 10, 2000 ( at midterm exam) 1. What is the skin depth in an unmagnetized ICP reactor running at 2 MHz in 10 mTorr of argon if Te = 3 eV and n = 1011 cm-3 ? Hint: use our crossover criterion to see if you have to include both electron-neutral and electron-ion collisions. 2. An RIE reactor has two identical circular electrodes of radius a, separated by a height h. The space between them is bounded by a grounded, metallic cylinder of radius a and length h. Different RF voltages , , , ˆ sin( )A B A B A BV V tω= are applied to the two electrodes. Assuming that the plasma is a perfect conductor, derive an expression for the space potential Vs as a function of time. VA VB Vs h a CHEMICAL ENGINEERING DEPARTMENT ChE 234, Winter, 2000 Homework Assignment No. 7a PROF. F.F. CHEN Assigned Feb. 24, 2000 Due March 2, 2000 1. A 65-GHz microwave interferometer is used to measure the density in a plasma column 10 cm in diameter. A phase shift of 12.3 degrees is measured after a double-pass through the plasma. Assuming that the plasma is uniform, calculate its density. 2. You need a magnetic probe to measure the field in an ICP of 20 cm diameter operating at 2.0 MHz. You would like a signal of at least 10 mV if the RF magnetic field is 1G. Design the smallest coil you can make using AWG 24 Formvar-insulated wire with a diameter of 0.022 inches. Specify the number of turns. 3. The ion saturation current to a Langmuir probe 0.3 mm in diameter and 2 mm long is measured across a 50 Ω resistor, giving a reading of 25 mV. What is the density of the argon plasma if KTe = 4 eV? 4. Below are some Langmuir probe I-V data taken in a plasma of some sort, plotted on two different scales for clarity. Estimate the electron temperature. Vp Ie Vp Ie (V) (mA) (V) (mA) -58.0 -0.68 -3.0 0.14 -56.0 -0.66 -2.0 0.29 -54.0 -0.64 -1.0 0.48 -52.0 -0.62 0.0 0.75 -50.0 -0.60 1.0 1.12 -48.0 -0.58 2.0 1.62 -46.0 -0.56 3.0 2.30 -44.0 -0.54 4.0 3.24 -42.0 -0.52 5.0 4.52 -40.0 -0.50 6.0 6.27 -38.0 -0.48 7.0 8.66 -36.0 -0.46 8.0 11.93 -34.0 -0.44 9.0 16.40 -32.0 -0.42 10.0 22.51 -30.0 -0.40 10.5 26.36 -28.0 -0.38 10.8 28.11 -26.0 -0.36 11.0 28.29 -24.0 -0.34 12.0 29.08 -22.0 -0.32 14.0 30.24 -20.0 -0.30 16.0 31.02 -18.0 -0.28 18.0 31.56 -16.0 -0.26 20.0 31.97 -14.0 -0.24 22.0 32.34 -12.0 -0.23 24.0 32.70 -10.0 -0.21 26.0 33.05 -8.0 -0.17 28.0 33.36 -6.0 -0.10 30.0 33.54 -4.0 0.04 CHEMICAL ENGINEERING DEPARTMENT ChE 234, Spring, 1998 Homework Assignment No. 3 PROF. F.F. CHEN Assigned April 21, 1998 Due April 28, 1998 1. Derive the expression in Eq. (3-21) for the ambipolar diffusion coefficient Da and show that the approximation of Eq. (3-23) is true only if De >> Di. 2. Using curves given earlier, estimate the cross-field diffusion coefficient for 3-eV electrons in a 10-mTorr argon discharge in an 800G magnetic field. 3. A cylindrical discharge 2 cm in radius and 50 cm long is filled with a uniform argon plasma with n = 1012 cm-3 and KTe = 3 eV, with an ambient pressure of 3 mTorr. The system is maintained in steady state by RF power that is applied from an external antenna. Using the graph below, calculate the total loss rate of ion-electron pairs from the plasma. Ionization probability in argon 1E-14 1E-13 1E-12 1E-11 1E-10 1E-09 1E-08 1E-07 1 10 100KTe (eV) <συ> 4. Calculate the skin depth in a 27.12 MHz ICP discharge in 2 mTorr of argon when the plasma parameters are n = 2 × 1011 cm-3 and KTe = 2 eV. 5. Calculate the maximum sheath thickness (Debye plus Child-Langmuir) in an RIE discharge with n = 1010 cm-3 and KTe = 6 eV, when the applied RF voltage is 600 volts peak-to-peak. HOMEWORK--ChE 290 due May 8, 1996 1. An argon plasma is created in a 5-cm diam glass tube which is long enough that it can be considered infinitely long. The plasma diffuses radially by ambipolar diffusion across an 0.1 Tesla magnetic field. The electron temperature is 3 eV, and the neutral pressure is 5 mTorr. The density profile can be taken to be parabolic of the form n = no(1 - r 2 /a 2 ), where a = 2.5 cm. The density no on axis is unknown a) Using the cross sections given in class, calculate the rate at which ion-electron pairs are lost per meter of tube length (in terms of the unknown no). Hint: the ions collide mainly by charge exchange with neutrals, and the electrons collide with both neutrals and ions. b) Calculate the average <σv>ion for the electrons and from that the number of ionelectron pairs created per meter of tube length. c) Setting the creation rate equal to the loss rate, calculate the value of no. 2. Calculate the saturation ion current to a probe whose tip measures .010” in diameter and 2mm long. This is in an argon plasma of density 3 × 1013 cm-3 and electron temperature 2 eV. CHEMICAL ENGINEERING DEPARTMENT ChE 234, Winter, 2000 Principles of Plasma Processing Midterm Exam, Part A (open book) Prof. F.F. Chen 4-6 pm, Thursday, Feb. 10, 2000 NOTE: Extreme accuracy is not required. You do not have to use a calculator if you are careful to write down clearly what numbers you are multiplying together or dividing. A1. An unmagnetized argon plasma has KTe = 4.5 eV and n = 5 × 1011 cm-3 . A DC bias of – 800V is applied to a large, flat electrode relative to the plasma. a) Calculate the total Debye and Child-Langmuir sheath thickness in mm. b) Calculate the ion and electron fluxes to the electrode in particles/cm2 /sec. A2. A low-pressure, uniform argon plasma in a chamber of volume 6 liters and surface area 2000 cm2 is maintained at Te = 4 eV by an unspecified mechanism. Assuming that the ions reach the walls at the Bohm velocity and that the ionization probability <σv>ion at 4 eV is 10-9 cm3 /sec, calculate what the neutral pressure must be. A3. Write a short answer to each of the following questions. a) Why is a plasma needed for etching? b) Why is a plasma needed for deposition? c) In an RF discharge, why is the ion energy distribution at a substrate narrower if the frequency is higher? d) If one plate of an RIE reactor is at +100V and the other at –100V, the plasma potential is approximately (A) +101V (B) –99V (C) +1V (D) +99V (E) –1V e) The simplified form of helicon theory assumes that the ion mass is infinite and the electron mass is zero. But if the dispersion relation is 2 2 p c k c ωω β ω = why don’t we have to specify the masses to evaluate this? f) Draw four types of ICP antennas. g) Why is a dust grain in an RIE reactor have a negative charge? h) Why do you need a matching network between an RF power supply and the antenna? i) The normal ion energy striking an unbiased wafer is, say, 20 eV. We can increase this by applying a bias oscillator to the wafer. How can you reduce this energy? j) Draw the path of an electron undergoing stochastic heating in (A) an RIE reactor and (B) an ICP reactor. CHEMICAL ENGINEERING DEPARTMENT ChE 234, Winter, 2000 Principles of Plasma Processing Final Exam, Part A (open book) Please hand in Parts A and B separately for faster grading Prof. F.F. Chen 3-6 pm, Wed. March 22, 2000 1(a). The following Langmuir probe curve, with the ion current already subtracted, shows a biMaxwellian electron distribution. Measure the electron temperatures of the two electron species. 0.01 0.10 1.00 10.00 -30 -25 -20 -15 -10 -5 0 5 10 Probe voltage (V) Electroncurrent(mA) 1(b). An ion energy analyzer is mounted on a grounded substrate to measure the DC ion distribution reaching the wafer in a 3-eV plasma. Draw on the diagram the grids you would insert in the design and tell what voltages you would put on each. If the distribution has the shape shown, draw a rough sketch of the collector current vs. analyzer voltage curve that you would expect to find. The voltage scale should be realistic. sheath gridded analyzer 0.00 0.01 0.02 0.03 0.04 0.05 0 20 40 60 80 100 120 140 Ei = eVs (eV) f(Ei) Emin Emax 2. A plasma discharge in a long cylindrical tube has everything constant along the axial (z) and azimuthal (θ) directions, but the density varies radially. The plasma is so weakly ionized that collisions between charged particles can be neglected. Let KTe and neutral density nn be uniform everywhere. The discharge is maintained in steady state by ionization at the rate nenn<σv>ioniz balanced against ambipolar diffusion to the wall with a coefficient Da. We wish to calculate the radial density profile. a) Show that the shape of this profile is independent of density. b) Show that the profile has the shape n(r) = n0J0(kr), where J0 is a Bessel function of order zero and n0 is the density on axis. Write a formula for k in terms of the symbols given above. c) (extra credit) The edge density n(a) is completely specified once nn, Te, and Ti (and hence k) are specified. But n has to be near 0 at the wall. How is this possible? Hint 1: The equation of continuity in steady state is , /nu Q u D n n∇ ⋅ = = − ∇ , where Q is the density creation rate per unit volume. Hint 2: The Laplacian in cylindrical coordinates is 2 2 2 2 2 1 f f f f r r r r zθ ∂ ∂ ∂ ∂  ∇ = + +  ∂ ∂  ∂ ∂ . Hint 2: Bessel functions Jν(kx) satisfy the equation 2 2 2 2 2 1 0 J dJ k J x dxx x ν ∂ + + − =   ∂   . 3. Write a short answer to each of the following questions. a) An ICP plasma has Te = 2.5 eV, n = 6 × 1011 cm-3 , p0 = 2 mTorr, and f = 6.78 MHz. What is the collisionless skin depth in millimeters? b) What is the Larmor radius of a 25-eV electron at 100G? c) What is the acoustic velocity in a 4-eV (KTe) argon plasma? d) Show that the feature size in present-day computer chips is much smaller than the Debye length in a etching reactor. e) Why is the mobility in a partially ionized plasma proportional to ne but the resistivity in a fully ionized plasma is independent of ne? f) How would you increase the ion energy impinging on the wafer to 200 eV, say, in an ICP? g) Name two ways to measure the ion temperature in a plasma. h) What is the main feature that makes ECR and helicon reactors different from ICPs and RIEs? i) What do ARDE and microloading mean? j) Why are plasmas used to etch aluminum but not copper? CHEMICAL ENGINEERING DEPARTMENT ChE 234, Spring, 1998 Midterm Exam No. 1 Open book May 7, 1998 1. A quartz vacuum chamber is filled with 10mTorr of Cl2 gas, which is completely dissociated when a plasma is created. An RF field maintains a constant ionization fraction of 1% at an electron temperature of 3.5 eV. Calculate the Debye length in this plasma. 2. Estimate the energy of ions bombarding the walls of the chamber in the previous problem. (Hint: use the Bohm sheath criterion, and add the acceleration in the normal sheath. Neglect any additional sheath drop due to the RF oscillations. ) 3. Calculate the ratio of plasma frequency to electron cyclotron frequency in a plasma in a 1.5 T magnetic field if the plasma density is 5 × 1018 m-3 . Additional questions for graduate students 4. A Lam TCP etcher operates at 13.56 MHz and produces a plasma density of 8 × 1011 cm-3 in the vicinity of the antenna. About how far does the RF field penetrate into the plasma? 5. If the charge-exchange cross section for Ar+ on Ar is 8 × 10-15 cm2 when KTi = 0.1 eV, how large, approximately, is the ambipolar diffusion coefficient in a 20-mTorr argon discharge with KTi = 0.1 eV and KTe = 2 eV? Sample homework and exam problems Part B: Plasma chemistry Disclaimer: These homework assignments and exams are taken directly from our files without editing or corrections. We take no responsibility for their suitability for use by others. Professors teaching a course using these notes as a textbook may obtain answers to the problems from the authors if they agree not to allow circulation of the answer sheets among the students. A request should also give the title, date, and frequency of the course offering and the expected enrollment. Chemical Engineering Principles of Plasma Processing UCLA, Winter 2000 Due: January 18, 2000 1. The probability of finding a molecule with a particular speed in a low pressure gas follows the Maxwell-Boltzmann Distribution function:       −      = Tk mu u Tk m up BB 2 exp 2 4)( 2 2 2 3 π π Show that: (1) the average speed of the gas molecule is: ∫ ∞ == o B m Tk duuupu π 8 )( __ (2) the root-mean-squared speed of the gas molecules is: m Tk duupuu B o rms 3 )( 2 1 2 =        = ∫ ∞ (3) the most probable speed of the gas molecules is: m Tk u B mp 2 = 2. Determine the gas flow regimes and calculate the mean free paths of the oxygen gas molecules at (1) 1mtorr, 300K and (2) 20 torr, 600K. Assume the characteristic length of the process chamber is 10 cm. Chemical Engineering Principles of Plasma Processing UCLA, Spring 2002 Due: April 18, 2002 1. The probability of finding a molecule with a particular speed in a low pressure gas follows the Maxwell-Boltzmann Distribution function:       −      = Tk mv v Tk m vp BB 2 exp 2 4)( 2 2 2 3 π π Show that: (4) the average speed of the gas molecule is: ∫ ∞ == o B m Tk dvvvpv π 8 )( __ . (5) the average one way particle flux is: 4 vn =Γ . (6) the ideal gas law is p=nkBT. 2. Determine the gas flow regimes and calculate the mean free paths of the silane gas molecules at (1) 2mtorr, 300K and (2) 15 torr, 600K. Assume the characteristic length of the process chamber is 10 cm. 3. A gas flow of 20 sccm is introduced into a plasma chamber through a 5 m long circular tube which is ½” in diameter. The chamber is pumped by a turbo pump with a pumping speed of 400l/s, which is backed by a roughing pump with a pumping speed of 200 l/s. A throttle valve is installed to control the pressure inside the system. The conductance of the throttle valve as a function of its opening is shown below. Assume that the gas has the flow characteristics of air. Calculate the upper limit of the pressure by assuming that the flow is in the rarefied regime. Find out the pressure response as the opening of the throttle valve. 0 10 20 30 40 50 0 10 20 30 40 50 60 70 80 90 100 % opening F(L/s) Chemical Engineering Principles of Plasma Processing UCLA, Winter 2000 Due: February 3, 2000 1. To use optical spectroscopy to determine the plasma chemistry, you need to determine the number of photons at various wavelengths accurately. Assume that you have a plasma in that the electron and neutral density are 2x1011 cm-3 and 2x1013 cm-3 , respectively, with the electron temperature at 3 eV. Use the model shown below to describe the most important transisions, where Eg, E1, E2, and Ei are ground state, excited state 1, excited state 2, and ionized state, C1, and C2 are rate of collisional excitation from the ground state (cross-section of both processes are shown below), and R1g=5x108 s-1 , R2g=5x107 s-1 , and R21=5x107 s-1 are rates of spontaneous emission. Calculate the number of photons and their wavelength using a plasma volume of 100cm3 . 2. Determine the ground state levels for Al, Si, P. S. Cl. And Ar. Show how each of the terms used in the spectroscopic terms of atoms are determined. 3. Determine the rate constant for the reaction: e– +O(1 D)!O(3 P)+e– , using the data in Table 8.1 and 8.2. Compare your result to that given in Table 8.2. 12 25 5x10-15 cm2 σ1 eV 15 25 1x10-15 cm2 σ2 eV Eg E1 E2 Ei C1 C2 R2g R1g R21 Chemical Engineering Principles of Plasma Processing UCLA, Spring 2002 Due: April 30, 2002 1. The probability of finding a molecule with a particular speed in a low pressure gas follows the Maxwell-Boltzmann Distribution function:       −      = Tk mv v Tk m vp BB 2 exp 2 4)( 2 2 2 3 π π Show that: (7) the average speed of the gas molecule is: ∫ ∞ == o B m Tk dvvvpv π 8 )( __ . (8) the average one way particle flux is: 4 vn =Γ . (9) the ideal gas law is p=nkBT. 2. Determine the gas flow regimes and calculate the mean free paths of the silane gas molecules at (1) 2mtorr, 300K and (2) 15 torr, 600K. Assume the characteristic length of the process chamber is 10 cm. 3. A gas flow of 20 sccm is introduced into a plasma chamber through a 5 m long circular tube which is ½” in diameter. The chamber is pumped by a turbo pump with a pumping speed of 400l/s, which is backed by a roughing pump with a pumping speed of 200 l/s. A throttle valve is installed to control the pressure inside the system. The conductance of the throttle valve as a function of its opening is shown below. Assume that the gas has the flow characteristics of air. Calculate the upper limit of the pressure by assuming that the flow is in the rarefied regime. Find out the pressure response as the opening of the throttle valve. 0 10 20 30 40 50 0 10 20 30 40 50 60 70 80 90 100 % opening F(L/s) 4. Determine the ground state levels for Al, Si, Cl, Ar, and Br. Show how each of the “labels” used in the spectroscopic terms of atoms is determined. 5. Problem 3.9 in the textbook (Lieberman and Lichtenberg) Chemical Engineering Principles of Plasma Processing UCLA, Winter 2000 Due: February 24, 2000 2. Actinometry is widely used in aid the quantification of the optical emission spectra from plasmas to determine the concentration of various excited species. You have developed an aluminum etching process using chlorine gas at a pressure of 10mtorr. Your preliminary experimental results on a pure chlorine plasma indicate that you have a strong emission line at 837.6 nm. What electronic transition state is this line corresponding to? Which noble gas and its corresponding emission line will you choose for actinometry and why? With 1 at.% of the noble gas of your choice mixed in your chlorine plasma, the integrated intensity ratio of the 837.6nm line to the line of your choice is 15:1. Determine the concentration of chlorine atoms in your plasma. If your chlorine plasma is very weakly dissociated, which wavelength(s) of light would you expect to see with your OES setup? (list two dominant lines for Cl2) 2. Laser interferometry is used to quantify the etching of deposition rate during plasma processing. You are in charge of etching of 1000nm thick un-doped polysilicon (n=3.88) on SiO2 (n=1.45) and use a 632.8nm He-Ne laser source to determine the etching rate. Please determine and plot the interferometry signals as a function of time when you etch (1) unpatterned polysilicon at a rate of 100nm/min, laser incident angle =50o to the normal (2) photoresist patterned polysilicon with the etching rates of photoresist and polysilicon at 0nm/min and 100nm/min, respectively. Note the photoresist has an index of refraction of 1.6 and the lines are 500nm thick, 500nm in width, and 500nm apart. Use normal incident angle in this case. 3. Problem 9.3 in LL. Chemical Engineering Principles of Plasma Processing UCLA, Spring 2002 Due: May 21 2002 1. Problem 8.1 in LL. 2. Problem 8. 6 in LL. 3. Use the energy diagram for O2, calculate the wavelength of the photon generated from deexcitation of b1 Σg + O2 back to its ground state. Assuming that the overall rate of the plasma ashing process is limited by the following initiation process O2+e– !O+ +O+2e– , where the rate expression is: 222 )( OeeOO nnTkr =− . The generated oxygen atoms then diffuse to the surface and dominate the reaction with the photoresist. Using a perfect continuous stirred tank reactor model for your plasma reactor, determine the expression for the photoresist ashing rate at steady state, and sketch how the ashing rate changes with reactor volume, pressure and electron temperature. 4. Actinometry is widely used in aid the quantification of the optical emission spectra from plasmas to determine the concentration of various excited species. You have developed an aluminum etching process using chlorine gas at a pressure of 10mtorr. Your preliminary experimental results on a pure chlorine plasma indicate that you have a strong emission line at 837.6 nm. What electronic transition state is this line corresponding to? Which noble gas and its corresponding emission line will you choose for actinometry and why? With 1 at.% of the noble gas of your choice mixed in your chlorine plasma, the integrated intensity ratio of the 837.6nm line to the line of your choice is 10:1. Determine the concentration of chlorine atoms in your plasma. If your chlorine plasma is very weakly dissociated, which wavelength(s) of light would you expect to see with your OES setup? (list two dominant lines for Cl2). Chemical Engineering Principles of Plasma Processing UCLA, Winter 2000 Due: March 14, 2000 1. Estimate the maximum etching rate (nm/min) for physical sputtering of silicon dioxide using 600eV Ar+ (sputtering yield: γSiO2 (600eV Ar+ ) = 1.34). Assume that the ion density at the sheath edge is 1010 cm-3 . 2. CF4 can be used to etch both silicon and silicon dioxide. For the same etch rates and plasma conditions, SiO2 etching in CF4 plasma exhibits a smaller loading effect than silicon etching. Assume (a) the etching products are CO2 and SiF4 for SiO2 etching, (b) the etching products are C2F6 and SiF4 for silicon etching, (c) the recombination probability is zero on the walls, and (d) the reaction probability of the etchant is unity on the substrates. Show that for a fixed etchant generation rate and the same loading effect, the SiO2 etch area is four times the silicon etch area. 3. To understand Aspect Ratio Dependent Etching (ARDE), it is important to determine the deposition rate within a trench accurately. Assume an isotropic flux of precursors with a sticking coefficient of unity enters a trench of width w (x-dir.) and depth d (ydir.) (both w and d are much greater than the mean free path of the precursor collisions). (a) Assume that dN is the number of precursor molecules incident on a differential width dy at a sidewall position y due to an isotropic flux emitted from a differential width dx at the top of the trench. Show that dN is proportional to dxcosθx and dycosθy, but inversely proportional to the distance r between the source and sidewall: r dxdy AdN yx θθ coscos = where r x x =θcos , r y y =θcos , and A is a constant. (b) Integrating the above equation from x=0 to x=w, and assume a uniform source at the top of the trench. Show that dN/dy sθcos1−∝ , where 22 cos wy y s + =θ . Note that θs is the angle subtended by the trench opening as seen at the position y along the sidewall. (c) Repeat the above mentioned procedure, and determine the non-uniform deposition rate dN/dx versus x at the bottom of the trench. x y d w θs Chemical Engineering Principles of Plasma Processing UCLA, Winter 2000 Due: March 14, 2000 1. QMS? 2. Problem 9.4 in LL. 3. Estimate the maximum etching rate (nm/min) for physical sputtering of silicon dioxide using 600eV Ar+ (sputtering yield: γSiO2 (600eV Ar+ ) = 1.34). Assume that the ion density at the sheath edge is 1010 cm-3 . 4. CF4 can be used to etch both silicon and silicon dioxide. For the same etch rates and plasma conditions, SiO2 etching in CF4 plasma exhibits a smaller loading effect than silicon etching. Assume (a) the etching products are CO2 and SiF4 for SiO2 etching, (b) the etching products are C2F6 and SiF4 for silicon etching, (c) the recombination probability is zero on the walls, and (d) the reaction probability of the etchant is unity on the substrates. Show that for a fixed etchant generation rate and the same loading effect, the SiO2 etch area is four times the silicon etch area. 5. To understand Aspect Ratio Dependent Etching (ARDE), it is important to determine the deposition rate within a trench accurately. Assume an isotropic flux of precursors with a sticking coefficient of unity enters a trench of width w (x-dir.) and depth d (ydir.) (both w and d are much greater than the mean free path of the precursor collisions). (d) Assume that dN is the number of precursor molecules incident on a differential width dy at a sidewall position y due to an isotropic flux emitted from a differential width dx at the top of the trench. Show that dN is proportional to dxcosθx and dycosθy, but inversely proportional to the distance r between the source and sidewall: r dxdy AdN yx θθ coscos = where r x x =θcos , r y y =θcos , and A is a constant. (e) Integrating the above equation from x=0 to x=w, and assume a uniform source at the top of the trench. Show that dN/dy sθcos1−∝ , where 22 cos wy y s + =θ . Note that θs is the angle subtended by the trench opening as seen at the position y along the sidewall. Repeat the above mentioned procedure, and determine the non-uniform deposition rate dN/dx versus x at the bottom of the trench. x y d w θs Chemical Engineering Principles of Plasma Processing UCLA, Winter 2000 4:00-6:00pm, February 10, Thursday, 2000 CLOSED BOOK EXAMINATION 1. (a) You designed a plasma reactor as shown to the right for oxygen plasma ashing of photoresist. A pump with a pumping speed of 400 l/s is connected to the chamber via two sections of 1” straight tubes each 10” in length, and a valve. Note that the conductivity for air in a circular tube with a length much greater than its diameter in the rarefied flow regime is: l D Ftube 3 2.12= , and in the continuum regime is: 2 )( 128 21 4 pp l D Ftube + = η π , and the valve has a conductance of 50 l/s. To maintain a 0.5 mtorr pressure, at what gas flow rate should you operate this system (in sccm unit)? Assume that the gas has the flow characteristics of air. What is the mean free path of the plasma assuming 100% dissociation efficiency of oxygen and the reactor is a cube with a dimension of 8” on each side? (b) In fact oxygen plasma chemistry is quite complex where many reactions occur at various time scales. Using the energy diagram shown on the next page, (1) depict and explain the Franck-Condon principle, (2) depict and explain the dissociative ionization reaction, determine the threshold energy for this reaction, and estimate the energy of dissociated species (note O-O bond strength is ~5eV), (3) calculate the wavelength of the photon generated from de-excitation of b1 Σg + O2 back to its ground state. (c) Assuming that the overall rate of the plasma ashing process is limited by the following initiation process O2+e– !O+ +O+2e– , where the rate expression is: 222 )( OeeOO nnTkr =− . The generated oxygen atoms then diffuse to the surface and dominate the reaction with the photoresist. Using a perfect continuous stirred tank reactor model for your plasma reactor, determine the expression for the photoresist ashing rate at steady state, and sketch how the ashing rate changes with reactor volume, pressure and electron temperature. pump valve plasma O2 pump valve plasma O2 Chemical Engineering Principles of Plasma Processing UCLA, Spring 2002 4:00-6:00pm, May 2, Thursday, 2002 OPEN BOOK EXAMINATION 1. Please give a concise answer to the following questions: (a) Why is a Druyvesteyn distribution a better model for the electron energy distribution than Maxwell-Boltzman distribution? (b) What are the differences between Coulomb scattering and polarization scattering? (c) What is multiplicity? (d) What are the characteristics of metastables? (e) Please identify the Ar metastable with the spectroscopic energy state designation and estimate its ionization energy. (Hint: The ionization energy of Ar is 15.6 eV.) 2. For electron-neutral scattering in a 10 mTorr Ar at 25o C, assume an electron of 4 V is scattered by a fixed neutral (an elastic hard sphere at rest). Determine the mean free path λ and collision frequency ν using a polarizability of the neutral as: αp = 11ao 3 . (Please indicate your assumptions clearly). 3. In a plasma, assume that the electron neutral density is 3x1011 cm-3 and the electron energy distribution function is shown below. Use a simple model where the important energy states are E0, E1, E2, and Ei, representing the ground state, excited state 1, 2 and the ionized state. The rate of collisional excitation from the ground state to the excited state 1 and 2 can be calculated from the cross-sections shown below. The rates of spontaneous emission are A10=5x108 s-1 , A20=1x108 s-1 , A21=2x108 s-1 . Find the ratio of species at excited states 1 and 2. 15 25 4x10-15 cm2 σ1 eV 3x10-15 cm2 σ2 eV E0 E1 E2 Ei C1 C2 A20 A10 A21 10 15 20 25 0 0.1 0.2 0.3 0 5 10 15 20 25 30 E (eV) Electron energy distribution function Chemical Engineering Principles of Plasma Processing UCLA, Winter 2000 3:00-6:00pm, March 22, Wednesday, 2000 OPEN BOOK EXAMINATION 1. Multiple Choices and Short-Answer Questions (3 points each): (Multiple Choices): ½ point for each correct choice and -½ point for each wrong choice. A. What are the selection rules for electron-dipole transition of atoms(a common pathway for deexcitation of excited species in a plasma)? (a) Change in the orbital angular momentum ∆L = 0 (b) Change in the orbital angular momentum ∆L = ±l (c) Change in the spin angular momentum ∆S = 0 (d) Change in the spin angular momentum ∆S = ±l (e) Change in the total angular momentum state: ∆J = 0 (f) Change in the total angular momentum state: ∆J = ± 1 B. What is a metastable in the plasma? C. Name the major criteria for actinometry to work in quantifying the concentrations of plasma species. D. Why is low dielectric material needed for interconnection in microelectronic industry? (a) Reduce the resistivity (b) Increase the resistivity (c) Reduce the capacitance (d) Increase the capacitance (e) Reduce the crosstalk (f) Increase the crosstalk E. You are in charge of etching of 500nm polysilicon (patterned) on 30 nm silicon dioxide. To compensate for RIE lag, overetching is required to clear all feature. However, oxide thickness loss greater than 5 nm during the overetching process is NOT acceptable. You know the plasma uniformity varies 5% across the wafer, and the polysilicon film thickness varies 5% across the wafer. What is the minimal selectivity between polysilicon and oxide to ensure less than 5 nm oxide loss across the wafer? (a) 10% (b) 20% (c) 30% (d) 40% F. Explain the reasons for the formation of micro-grass during the etching process and suggest how it can be eliminated. G. Ion energy and angular distributions are important to etching patterned features. Which of the following etching peculiarities can be eliminated if the ion angular distribution is monodirectional (in the direction of surface normal)? (a) Trenching (b) Bowing (c) Notching (d) Tapering (e) Grass (f) RIE lag H. Reactive Ion Etching lag (RIE lag) or aspect ratio dependent etching refers to the etching nonuniformity in plasma etching processes where the small features etch slower than large features. What are the causes for Inverse RIE lag? I. Silicon is most widely used in the microelectronic industry. Circle the parameters that affect the etching rate of silicon in a fluorine plasma. (a) Substrate temperature (b) Silicon doping level (c) Ion incident angle (d) Recombination of fluorine atoms (e) Substrate bias (f) Plasma density J. You are in charge of etching SiO2 using a CF4/O2 plasma, yet the etching anisotropy is poor. How could you enhance the etching anisotropy? (a) Lower the pressure (b) Increase substrate bias (c) Increase the substrate temperature (d) Increase CF4 to O2 ratio (e) Add F2 (f) Add C2F6 2.(8 points) Surface reaction is a key element in all plasma processes, especially in plasma etching. Various reactive species compete for available surface sites for adsorption, and sites are regenerated upon desorption of etching products. Determine the following surface reaction rate as a function of all the rate constants, NA, and NR if (a) the surface reaction II is rate limiting (b) the adsorption process III is rate limiting. Plot the reaction rate as a function of NA for both cases. A + * →1k A* (I) A* →2k R* (II) R* →3k R + * (III) 3.(a) (6 points) Oxygen is widely used for ashing photoresist in the microelectronics industry after the photolithography process. Oxygen atoms are produced by electron impact dissociation, and the oxygen atoms are consumed in the polymer etching process as detailed below: Polymer + O →k H2O + CO2; and the etching rate = k NO. The oxygen atoms are also lost in the gas phase recombination reaction according to a first order reaction: klossNO. To quantify the etching rate of photoresist by a plasma reactor, wafers of radius R are spin-coated with a uniform photoresist thin film for etching. Assume the gas feed rate is F (volume/time), the volume of the plasma reactor is V, the rate of generation of oxygen atoms from O2 per unit volume is G, and there are M wafers in the reactor. Please model the plasma reactor as a continuous stirred tank reactor to determine the oxygen atom concentration (Hint: In = Out + Generation - Consumption). (b) (6 point) Two experiments were performed at 1 Torr where 20 wafers were loaded in the first experiment (with an average etching rate of 1µm/min) and no wafer was loaded in the second experiment. With the aid of OES, we determined that the atomic oxygen concentration in the first experiment is 1/5 of the atomic oxygen concentration in the second experiment. From the model you just developed, estimate the etch rate of the photoresist when 50 wafers (M=50) are loaded in the reactor. ←−1k ←−3k ←−2k Chemical Engineering Principles of Plasma Processing UCLA, Spring 2002 3:00-6:00pm, June 10, Monday, 2002 OPEN BOOK EXAMINATION 1. Multiple Choices and Short-Answer Questions (4 points each): (Multiple Choices): 2/3 point for each correct choice and –2/3 point for each wrong choice. 1. What are the selection rules for optical transitions of molecules? " Change in the orbital angular momentum ∆Λ = 0, ±l " Change in the spin angular momentum ∆S = 0, ±l " Change in the vibrational state: ∆v = 0 " Change in the vibrational state: ∆v = ± 1 " Change in the rotational state: ∆J = 0 " Change in the rotational state: ∆J = ± 1 2. Ion energy and angular distributions are important to etching patterned features. Which of the following etching peculiarities can be eliminated if the ion angular distribution becomes monodirectional ? " Tapering " Grass " Trenching " Bowing " Notching " RIE lag 3. You are in charge of etching of 600nm polysilicon (patterned) on 10 nm silicon dioxide. To compensate for RIE lag, overetching is required to clear all feature. However, oxide thickness loss greater than 2 nm during the overetching process is NOT acceptable. You know the plasma uniformity varies 2% across the wafer, and the polysilicon film thickness varies 3% across the wafer. What is the minimal selectivity between polysilicon and oxide to ensure less than 2 nm oxide loss across the wafer? " 10% " 20% " 30% " 40% " 50% " 60% 4. Why is low dielectric material needed for interconnection in microelectronic industry? " Reduce the resistivity " Increase the resistivity " Reduce the capacitance " Increase the capacitance " Reduce the crosstalk " Increase the crosstalk 5. Which of the following statement(s) in plasma etching is(are) true? " Spontaneous etching is always small and can be neglected " Physical sputtering yield scales linearly with the square root of ion energy up to MeV range " Physical sputtering yield is independent of the ion incident angle " Ion enhanced etching is important because the synergism of ions and radicals enhances the etching rates " Ion enhanced etching yield is independent of the ion incident angle " Deposition or re-deposition inside a feature is solely due to the etching of photoresist material 6. You installed a pump with 200 l/s pumping speed for a plasma reactor of 900 cm3 . When 50 sccm O2 is fed into the reactor, what is the mean free path of neutral species if oxygen is fully dissociated in the discharge? 7. Why is the transition between parahelium and orthohelium prohibited? 8. Name the major criteria for actinometry to work in quantifying the concentrations of plasma species. Why does mass spectrometry complements the optical emission analysis? 9. Reactive Ion Etching lag (RIE lag) or aspect ratio dependent etching refers to the etching nonuniformity in plasma etching processes where the small features etch slower than large features. What are the causes for Inverse RIE lag? 2. (15 points) The collision probabilities for electron in He and Ar, and for production of negative ions by electron impact in O2 are shown qualitatively below. Explain why each collision probability bears that particular dependency to the electron energy. Pc Volts He Pc Volts Ar Pc O2 Volts 3. (12 points) In an oxygen plasma, the electron collisional de-excitation can lead to the quenching of an excited O* to a ground state O. You know the rate constant for the excitation process: e– + O (3 P) ! O* (1 D) + e– , k1 = 4x10-9 exp (-2.3/Te) cm3 /s Use detailed balancing to determine the rate constant for the de-excitation reaction: e– + O* (1 D) ! O (3 P) + e– Note, the ionization energy of O*(1 D) and O(3 P) are 11.6 eV and 13.6 eV, respectively. 4. (18 points) Oxygen is widely used for ashing photoresist in the microelectronics industry after the photolithography process. Using the energy diagram attached on the next page, (1) depict and explain the Franck-Condon principle, (2) depict and explain the dissociative ionization reaction, determine the threshold energy for this reaction, and estimate the energy of dissociated species, (3) Oxygen atoms are produced by electron impact dissociation, and the oxygen atoms are consumed in the polymer etching process as detailed below: Polymer + O →k H2O + CO2; and the etching rate = k NO. The oxygen atoms are also lost in the gas phase recombination reaction according to a first order reaction: klossNO. To quantify the etching rate of photoresist by a plasma reactor, wafers of radius R are spin-coated with a uniform photoresist thin film for etching. Assume the gas feed rate is F (volume/time), the volume of the plasma reactor is V, the rate of generation of oxygen atoms from O2 per unit volume is G, and there are X wafers in the reactor. Please model the plasma reactor as a continuous stirred tank reactor to determine the oxygen atom concentration. (4) Two experiments were performed at 100 mTorr where 5 wafers were loaded in the first experiment (with an average etching rate of 2µm/min) and no wafer was loaded in the second experiment. With the aid of OES, we determined that the atomic oxygen concentration in the first experiment is 1/10 of the atomic oxygen concentration in the second experiment. From the model you just developed, estimate the etch rate of the photoresist when 50 wafers (X=50) are loaded in the reactor. 5. (19 points) CF4 is widely used in etching Si and SiO2, and its gas chemistry is known to be quite complex. Consider only the following reactions in a CF4 plasma of ne=1010 cm-3 for F atom generation, and assume the third body reactions in the high-pressure limit are second order (independent of the M concentration). e– + CF4 ! CF3 + F + e– , k1 = 2x10-9 exp(-13/Te) cm3 /s e– + CF4 ! CF2 + 2F + e– , k2 = 5x10-9 exp(-13/Te) cm3 /s F + CF3 +M ! CF4 + M, K3 = 2x10-11 cm3 /s F + CF2 +M ! CF3 + M, K4 = 1x10-11 cm3 /s (1) Let )( 4 3 TA n nn CF CFF = and )( 3 2 TB n n CF CF = , show A and B in terms of the rate constants and ne. (2) If the initial concentration of CF4 is no, and all other species concentrations are zero at time = 0, determine the equilibrium concentration of F atoms in terms of no, A, and B. (3) If the silicon etching is due to F atomic flux only, propose a series of elementary reactions leading to the etching of silicon. (4) If the etching rate of silicon scales with the surface F concentration, nFS, in the following form: ESi (A/min) = 3x10-12 nFS T1/2 exp(-1250/T). Determine the silicon initial etching rate for a CF4 pressure of 1 Torr at 300K.