MARŠÍK, Přemysl, A. M. URBANOWICZ, P. VERDONCK, D. DE ROEST, H. SPREY a M. R. BAKLANOV. Effect of ultraviolet curing wavelength on low-k dielectric material properties and plasma damage resistance. Thin Solid Films. UK Oxford: Elsevier science, 2011, roč. 519, č. 11, s. 3619-3626. ISSN 0040-6090. Dostupné z: https://dx.doi.org/10.1016/j.tsf.2011.01.339.
Další formáty:   BibTeX LaTeX RIS
Základní údaje
Originální název Effect of ultraviolet curing wavelength on low-k dielectric material properties and plasma damage resistance
Název česky Vliv vlnove delky ultrafialove kury na materialove vlastnosti low-k dielektrik a odolnost proti poskozeni plazmatem
Autoři MARŠÍK, Přemysl (203 Česká republika, garant, domácí), A. M. URBANOWICZ (616 Polsko), P. VERDONCK (56 Belgie), D. DE ROEST (56 Belgie), H. SPREY (56 Belgie) a M. R. BAKLANOV (643 Rusko).
Vydání Thin Solid Films, UK Oxford, Elsevier science, 2011, 0040-6090.
Další údaje
Originální jazyk angličtina
Typ výsledku Článek v odborném periodiku
Obor 10302 Condensed matter physics
Stát vydavatele Velká Británie a Severní Irsko
Utajení není předmětem státního či obchodního tajemství
Impakt faktor Impact factor: 1.890
Kód RIV RIV/00216224:14310/11:00052302
Organizační jednotka Přírodovědecká fakulta
Doi http://dx.doi.org/10.1016/j.tsf.2011.01.339
UT WoS 000289333400030
Klíčová slova anglicky Ellipsometry; Optical properties; Low-k dielectrics; Porosity; Porogen residues; Plasma damage
Štítky AKR, rivok, ZR
Změnil Změnila: Ing. Andrea Mikešková, učo 137293. Změněno: 20. 4. 2012 10:22.
Anotace
A set of SiCOH low dielectric constant films (low-k) has been deposited by plasma enhanced chemical vapor deposition using variable flow rates of the porogen (sacrificial phase) and matrix precursors. During the deposition, two different substrate temperatures and radio frequency power settings were applied. Next, the deposited films were cured by the UV assisted annealing (UV-cure) using two industrial UV light sources: a monochromatic UV source with intensity maximum at gimel = 172 nm (lamp A) and a broadband UV source with intensity spectrum distributed below 200 nm (lamp B). This set of various low-k films has been additionally exposed to NH3 plasma (used for the CuOx reduction during Cu/low-k integration) in order to evaluate the effect of the film preparation conditions on the plasma damage resistance of low-k material. Results show that the choice of the UV-curing light source has significant impact on the chemical composition of the low-k material and modifies the porogen removal efficiency and subsequently the material porosity. The 172 nm photons from lamp A induce greater changes to most of the evaluated properties, particularly causing undesired removal of Si-CH3 groups and their replacement with Si-H. The softer broadband radiation from lamp B improves the porogen removal efficiency, leaving less porogen residues detected by spectroscopic ellipsometry in UV range. Furthermore, it was found that the degree of bulk hydrophilization (plasma damage) after NH3 plasma exposure is driven mainly by the film porosity.
Návaznosti
MSM0021622410, záměrNázev: Fyzikální a chemické vlastnosti pokročilých materiálů a struktur
Investor: Ministerstvo školství, mládeže a tělovýchovy ČR, Fyzikální a chemické vlastnosti pokročilých materiálů a struktur
VytisknoutZobrazeno: 31. 8. 2024 13:09