MARŠÍK, Přemysl, A. M. URBANOWICZ, P. VERDONCK, D. DE ROEST, H. SPREY and M. R. BAKLANOV. Effect of ultraviolet curing wavelength on low-k dielectric material properties and plasma damage resistance. Thin Solid Films. UK Oxford: Elsevier science, 2011, vol. 519, No 11, p. 3619-3626. ISSN 0040-6090. Available from: https://dx.doi.org/10.1016/j.tsf.2011.01.339.
Other formats:   BibTeX LaTeX RIS
Basic information
Original name Effect of ultraviolet curing wavelength on low-k dielectric material properties and plasma damage resistance
Name in Czech Vliv vlnove delky ultrafialove kury na materialove vlastnosti low-k dielektrik a odolnost proti poskozeni plazmatem
Authors MARŠÍK, Přemysl (203 Czech Republic, guarantor, belonging to the institution), A. M. URBANOWICZ (616 Poland), P. VERDONCK (56 Belgium), D. DE ROEST (56 Belgium), H. SPREY (56 Belgium) and M. R. BAKLANOV (643 Russian Federation).
Edition Thin Solid Films, UK Oxford, Elsevier science, 2011, 0040-6090.
Other information
Original language English
Type of outcome Article in a journal
Field of Study 10302 Condensed matter physics
Country of publisher United Kingdom of Great Britain and Northern Ireland
Confidentiality degree is not subject to a state or trade secret
Impact factor Impact factor: 1.890
RIV identification code RIV/00216224:14310/11:00052302
Organization unit Faculty of Science
Doi http://dx.doi.org/10.1016/j.tsf.2011.01.339
UT WoS 000289333400030
Keywords in English Ellipsometry; Optical properties; Low-k dielectrics; Porosity; Porogen residues; Plasma damage
Tags AKR, rivok, ZR
Changed by Changed by: Ing. Andrea Mikešková, učo 137293. Changed: 20/4/2012 10:22.
Abstract
A set of SiCOH low dielectric constant films (low-k) has been deposited by plasma enhanced chemical vapor deposition using variable flow rates of the porogen (sacrificial phase) and matrix precursors. During the deposition, two different substrate temperatures and radio frequency power settings were applied. Next, the deposited films were cured by the UV assisted annealing (UV-cure) using two industrial UV light sources: a monochromatic UV source with intensity maximum at gimel = 172 nm (lamp A) and a broadband UV source with intensity spectrum distributed below 200 nm (lamp B). This set of various low-k films has been additionally exposed to NH3 plasma (used for the CuOx reduction during Cu/low-k integration) in order to evaluate the effect of the film preparation conditions on the plasma damage resistance of low-k material. Results show that the choice of the UV-curing light source has significant impact on the chemical composition of the low-k material and modifies the porogen removal efficiency and subsequently the material porosity. The 172 nm photons from lamp A induce greater changes to most of the evaluated properties, particularly causing undesired removal of Si-CH3 groups and their replacement with Si-H. The softer broadband radiation from lamp B improves the porogen removal efficiency, leaving less porogen residues detected by spectroscopic ellipsometry in UV range. Furthermore, it was found that the degree of bulk hydrophilization (plasma damage) after NH3 plasma exposure is driven mainly by the film porosity.
Links
MSM0021622410, plan (intention)Name: Fyzikální a chemické vlastnosti pokročilých materiálů a struktur
Investor: Ministry of Education, Youth and Sports of the CR, Physical and chemical properties of advanced materials and structures
PrintDisplayed: 17/7/2024 23:30